KR100677042B1 - A method for forming gate of semiconductor device - Google Patents

A method for forming gate of semiconductor device Download PDF

Info

Publication number
KR100677042B1
KR100677042B1 KR1020040111561A KR20040111561A KR100677042B1 KR 100677042 B1 KR100677042 B1 KR 100677042B1 KR 1020040111561 A KR1020040111561 A KR 1020040111561A KR 20040111561 A KR20040111561 A KR 20040111561A KR 100677042 B1 KR100677042 B1 KR 100677042B1
Authority
KR
South Korea
Prior art keywords
gate
oxide film
forming
dummy
film
Prior art date
Application number
KR1020040111561A
Other languages
Korean (ko)
Other versions
KR20060072829A (en
Inventor
정대호
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020040111561A priority Critical patent/KR100677042B1/en
Publication of KR20060072829A publication Critical patent/KR20060072829A/en
Application granted granted Critical
Publication of KR100677042B1 publication Critical patent/KR100677042B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 게이트 산화막의 품질 저하를 방지할 수 있는 반도체 소자의 게이트 형성 방법에 관한 것이다. 본 발명에 따른 반도체 소자의 게이트 형성 방법은, a) 소자분리막이 형성된 반도체 기판 상에 열확산 방식으로 더미 산화막을 형성하는 단계; b) 더미 산화막 상에 게이트 패턴을 형성하고 이에 따른 식각을 실시하여 더미 게이트를 형성하는 단계; c) 더미 게이트의 양쪽 측벽에 질화막을 증착하여 게이트 측벽을 형성하는 단계; d) 반도체 기판의 액티브 영역 상에 이온을 주입하여 소스/드레인을 형성하고, 소스/드레인 상에 실리사이드를 형성하는 단계; e) 노출된 전면에 제1 금속간 물질을 증착하고 CMP 평탄화를 실시하는 단계; f) 패터닝 및 식각을 실시하여 더미 게이트를 제거하는 단계; g) 더미 게이트가 제거된 부분에 게이트 산화막을 증착하고, NO(Nitric Oxygen) 기체를 사용하여 어닐링을 실시하는 단계; 및 h) 게이트 산화막 상에 게이트 폴리를 증착하고 CMP 평탄화를 실시하여 게이트를 형성하는 단계를 포함한다. 본 발명에 따르면, 게이트 산화막을 측벽이 형성된 다음에 실시함으로써 오염물의 침투를 방지하여 브레이크다운 전압(BV) 특성을 향상시키고, 게이트 산화막 품질(GOI) 특성을 향상시킬 수 있다.The present invention relates to a method for forming a gate of a semiconductor device capable of preventing the deterioration of the quality of the gate oxide film. A method of forming a gate of a semiconductor device according to the present invention includes the steps of: a) forming a dummy oxide film on a semiconductor substrate on which a device isolation film is formed by thermal diffusion; b) forming a dummy gate by forming a gate pattern on the dummy oxide layer and performing etching accordingly; c) depositing nitride films on both sidewalls of the dummy gate to form the gate sidewalls; d) implanting ions on the active region of the semiconductor substrate to form a source / drain and forming silicide on the source / drain; e) depositing a first intermetallic material on the exposed front surface and performing CMP planarization; f) patterning and etching to remove dummy gates; g) depositing a gate oxide film on a portion where the dummy gate is removed, and performing annealing using NO (Nitric Oxygen) gas; And h) depositing a gate poly on the gate oxide film and performing CMP planarization to form a gate. According to the present invention, since the gate oxide film is formed after the sidewalls are formed, penetration of contaminants can be prevented to improve breakdown voltage (BV) characteristics and improve gate oxide film quality (GOI) characteristics.

게이트, GOI, MOSFET, 붕소 침투, 브레이크다운 전압Gate, GOI, MOSFET, Boron Penetration, Breakdown Voltage

Description

반도체 소자의 게이트 형성 방법 {A method for forming gate of semiconductor device}A method for forming gate of semiconductor device

도 1은 종래의 기술에 따른 게이트 산화막의 품질 저하가 발생할 수 있는 반도체 소자를 예시하는 도면이다.FIG. 1 is a diagram illustrating a semiconductor device in which quality deterioration of a gate oxide film according to the related art may occur.

도 2는 본 발명의 실시예에 따른 게이트 산화막의 품질 저하를 방지할 수 있는 반도체 소자를 예시하는 도면이다.2 is a diagram illustrating a semiconductor device capable of preventing the deterioration of a gate oxide film according to an embodiment of the present invention.

도 3a 내지 도 3n은 본 발명의 실시예에 따른 반도체 소자의 게이트 형성 방법을 나타내는 도면이다.3A to 3N are diagrams illustrating a gate forming method of a semiconductor device in accordance with an embodiment of the present invention.

본 발명은 반도체 소자의 게이트 형성 방법에 관한 것으로, 보다 구체적으로, 게이트 산화막의 품질 저하를 방지할 수 있는 반도체 소자의 게이트 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a gate of a semiconductor device, and more particularly, to a method of forming a gate of a semiconductor device capable of preventing the deterioration of the quality of a gate oxide film.

서브마이크론(Submicron)-MOSFET에서 사용되는 반도체 소자의 기술 중에서 반도체 소자가 집적화됨에 따라 소자의 크기가 작아지면서, GOI(Gate Oxide Integrity) 특성 강화가 매우 중요한 사안으로 대두되고 있는 실정이고, 미세한 영 향으로 인하여 쉽게 오염을 받을 수 있고, 또한 붕소 침투(Boron Penetration)로 인해 게이트의 특성이 약화된다는 문제점이 있다.As semiconductor devices become smaller among semiconductor technologies used in submicron-MOSFETs, as the size of devices decreases, enhancement of gate oxide integrity (GOI) characteristics is emerging as an important issue. Due to this, there is a problem in that it is easily polluted, and the gate characteristics are weakened due to boron penetration.

여기서, 상기 GOI(Gate Oxide Integrity)는 게이트 산화막의 품질정도를 말하며, 전압을 증가하면서 누설전류가 파괴전류가 될 때의 전압(BV: 브레이크다운 전압)으로 나타낸다.Here, the gate oxide integrity (GOI) refers to the quality of the gate oxide film, and is expressed as a voltage (BV: breakdown voltage) when the leakage current becomes a breakdown current while increasing the voltage.

한편, 도 1은 종래의 기술에 따른 게이트 산화막의 품질 저하가 발생할 수 있는 반도체 소자를 예시하는 도면이다.Meanwhile, FIG. 1 is a diagram illustrating a semiconductor device in which quality deterioration of a gate oxide film according to the related art may occur.

도 1을 참조하면, 소자분리막(112)이 형성된 Si-기판(111) 상에 게이트 산화막(113) 및 게이트(114)를 형성하고, 또한, 이온을 주입하여 상기 Si-기판(111)의 액티브 영역에 소스/드레인(116)을 형성하게 된다. 여기서, 도면부호 115는 상기 게이트(114) 양쪽 측벽에 형성되는 스페이서를 나타낸다.Referring to FIG. 1, the gate oxide film 113 and the gate 114 are formed on the Si-substrate 111 on which the device isolation layer 112 is formed, and ions are implanted to activate the Si-substrate 111. Source / drain 116 is formed in the region. Here, reference numeral 115 denotes a spacer formed on both sidewalls of the gate 114.

구체적으로, 종래의 반도체 소자의 게이트 산화막(113)과 게이트 폴리(114) 형성 방법은, 문턱전압(VT)용 이온주입 후 게이트(114)가 손상되지 않도록 어닐링을 실시한 후 연속적으로 진행된다. 즉, N 폴리 패터닝을 실시한 후에 N 폴리 이온주입을 실시하고, 다음으로, 폴리 이온주입 후 불순물(dopant)의 활성화를 위한 어닐링 실시 후에 게이트 패터닝을 실시한다. 다음으로, 식각을 실시하여 게이트 패턴을 형성한 후, 게이트 폴리 산화막 및 측벽 질화막을 증착하여 측벽 스페이서를 형성하게 된다. 여기서, 도면부호 A는 게이트 산화막의 품질 저하가 발생하는 부분을 나타낸다.Specifically, the method of forming the gate oxide film 113 and the gate poly 114 of the conventional semiconductor device is continuously performed after annealing so as not to damage the gate 114 after the ion implantation for the threshold voltage VT. That is, N poly ion implantation is performed after N poly patterning, and then gate patterning is performed after annealing for activating a dopant after poly ion implantation. Next, after etching to form a gate pattern, a gate poly oxide film and a sidewall nitride film are deposited to form sidewall spacers. Here, reference numeral A denotes a portion where quality deterioration of the gate oxide film occurs.

그러나, 종래 기술에 따르면, 전술한 게이트 형성 과정에서 GOI(gate oxide integrity)의 특성 열화가 발생하게 되는데, 즉, 많은 열확산 공정(thermal process)과 세정(cleaning) 공정을 거치면서 게이트 산화막 쪽으로 불순물의 침투와 또한 오염물의 확산(diffusion)이 발생하여 게이트 산화막의 성질을 약화시켜 브레이크다운 전압(breakdown voltage)이 낮아지는 현상이 초래된다는 문제점이 있다.However, according to the related art, the above-described gate formation process causes deterioration of the characteristics of GOI (gate oxide integrity), i.e., impurity of impurities toward the gate oxide film through a number of thermal processes and cleaning processes. Infiltration and diffusion of contaminants also cause a phenomenon that the breakdown voltage is lowered by weakening the gate oxide film.

상기 문제점을 해결하기 위한 본 발명의 목적은, 게이트 형성을 기존의 열확산 공정과 세정 공정 실시 후에 실시함으로써, 게이트 산화막 공정 후의 많은 열확산 공정과 세정 공정 시에 발생할 수 있는 게이트 산화막 품질 저하를 방지할 수 있는 반도체 소자의 게이트 형성 방법을 제공하기 위한 것이다.An object of the present invention for solving the above problems, by performing the gate formation after the conventional thermal diffusion process and the cleaning process, it is possible to prevent the gate oxide film quality deterioration that may occur during many thermal diffusion process and cleaning process after the gate oxide film process The present invention provides a method for forming a gate of a semiconductor device.

상기 목적을 달성하기 위한 수단으로서, 본 발명에 따른 반도체 소자의 게이트 형성 방법은,As a means for achieving the above object, the gate forming method of a semiconductor device according to the present invention,

a) 소자분리막이 형성된 반도체 기판 상에 열확산 방식으로 더미 산화막을 형성하는 단계;a) forming a dummy oxide film on the semiconductor substrate on which the device isolation film is formed by thermal diffusion;

b) 상기 더미 산화막 상에 게이트 패턴을 형성하고 이에 따른 식각을 실시하여 더미 게이트를 형성하는 단계;b) forming a dummy gate by forming a gate pattern on the dummy oxide layer and etching the gate pattern;

c) 상기 더미 게이트의 양쪽 측벽에 질화막을 증착하여 게이트 측벽을 형성하는 단계;c) depositing nitride films on both sidewalls of the dummy gate to form gate sidewalls;

d) 상기 반도체 기판의 액티브 영역 상에 이온을 주입하여 소스/드레인을 형 성하고, 상기 소스/드레인 상에 실리사이드를 형성하는 단계;d) implanting ions on the active region of the semiconductor substrate to form a source / drain and forming silicide on the source / drain;

e) 노출된 전면에 제1 금속간 물질(PSG)을 증착하고 화학 기계적 연마(CMP) 평탄화를 실시하는 단계;e) depositing a first intermetallic material (PSG) on the exposed front surface and performing chemical mechanical polishing (CMP) planarization;

f) 패터닝 및 식각을 실시하여 상기 더미 게이트를 제거하는 단계;f) patterning and etching to remove the dummy gate;

g) 상기 더미 게이트가 제거된 부분에 게이트 산화막을 증착하고, NO(Nitric Oxygen) 기체를 사용하여 어닐링을 실시하는 단계; 및g) depositing a gate oxide film on a portion where the dummy gate is removed, and performing annealing using NO (Nitric Oxygen) gas; And

h) 상기 게이트 산화막 상에 게이트 폴리를 증착하고 CMP 평탄화를 실시하여 게이트를 형성하는 단계h) depositing a gate poly on the gate oxide and performing CMP planarization to form a gate

를 포함하는 것을 특징으로 한다.Characterized in that it comprises a.

여기서, 상기 a) 단계의 더미 산화막의 두께는 상기 게이트 산화막 및 게이트 폴리가 형성될 두께와 동일한 것을 특징으로 한다.Here, the thickness of the dummy oxide film of step a) is the same as the thickness on which the gate oxide film and the gate poly are formed.

여기서, 상기 a) 단계의 더미 산화막의 두께는 1500∼3000Å인 것을 특징으로 한다.Here, the thickness of the dummy oxide film in step a) is 1500 to 3000 kPa.

여기서, 상기 c) 단계의 질화막의 두께는 1000∼3000Å인 것을 특징으로 한다.Here, the thickness of the nitride film of step c) is characterized in that 1000 ~ 3000Å.

여기서, 상기 c) 단계의 질화막 형성을 위한 분위기 기체로서 DCS(SiH2Cl2) +NH3을 반응시켜 Si3N4를 형성하는 것을 특징으로 한다.In this case, Si 3 N 4 is formed by reacting DCS (SiH 2 Cl 2 ) + NH 3 as an atmosphere gas for forming the nitride film of step c).

여기서, 상기 d) 단계는 상기 소스/드레인 상에만 실리사이드를 형성시키는 것을 특징으로 한다.Here, step d) is characterized in that the silicide is formed only on the source / drain.

여기서, 상기 e) 단계의 제1 PSG 증착 두께는 더미 게이트 높이의 1.5∼3배로 실시하고, 상기 CMP 평탄화에 의해 게이트 높이와 동일해지는 것을 특징으로 한다.Here, the first PSG deposition thickness of step e) is performed at 1.5 to 3 times the height of the dummy gate, and is equal to the gate height by the CMP planarization.

여기서, 상기 g) 단계의 게이트 산화막은 산소(O2) 기체 또는 산소+수소(O2+H2) 기체를 사용하여 700∼900℃에서 형성되는 것을 특징으로 한다.Here, the gate oxide film of step g) is formed at 700 ~ 900 ℃ using oxygen (O 2 ) gas or oxygen + hydrogen (O 2 + H 2 ) gas.

여기서, 상기 g) 단계의 게이트 산화막은 바닥 면에서는 20∼200Å가 형성되고, 상기 게이트 질화막 측벽이 형성된 부분은 질화막(SiN)으로서 산소(O2) 기체와 반응하여 두꺼운 산화막은 형성되지 않고, 10Å 미만 얇은 게이트 산화막이 형성되는 것을 특징으로 한다.Here, the gate oxide film of step g) is formed at the bottom surface of 20 ~ 200Å, the side portion of the gate nitride film sidewall is formed as a nitride film (SiN) reacts with oxygen (O 2 ) gas to form a thick oxide film, 10Å A less than thin gate oxide film is formed.

여기서, 상기 g) 단계의 NO 기체는 상기 게이트 산화막을 통과하여 상기 실리콘 기판과 결합하여 표면상에 SiON 막을 형성하고, 상기 SiON 막의 두께는 2∼10Å이 형성되어 붕소(boron) 이온의 침투를 방지하는 것을 특징으로 한다.Here, the NO gas of step g) passes through the gate oxide film to bond with the silicon substrate to form a SiON film on the surface, and the SiON film has a thickness of 2 to 10 kPa to prevent boron ions from penetrating. Characterized in that.

여기서, 상기 SiON 막은 N2:NO = 9.5L:0.5L을 사용하여 형성되는 것을 특징으로 한다.Here, the SiON film is formed using N 2 : NO = 9.5L: 0.5L.

여기서, 상기 g) 단계의 NO 기체 어닐링은 800∼950℃의 공정 온도로 진행하고, 700토르 미만의 공정 압력으로 진행하며, 어닐링 시간은 10분 내지 60분 동안 실시하는 것을 특징으로 한다.Here, the NO gas annealing of step g) is carried out at a process temperature of 800 ~ 950 ℃, proceeds to a process pressure of less than 700 Torr, the annealing time is characterized in that carried out for 10 to 60 minutes.

여기서, 상기 g) 단계의 NO 기체는 상기 측벽 질화막과 게이트 산화막과의 계면에 실리콘막을 형성하고, 상기 실리콘막은 10Å 미만이 형성되어, 게이트 폴리 내의 인(Phosphors) 또는 붕소(Boron)가 외부 확산(Out Diffusion)되는 것을 막아주는 역할을 하는 것을 특징으로 한다.Here, the NO gas of step g) forms a silicon film at the interface between the sidewall nitride film and the gate oxide film, and the silicon film is less than 10 GPa, so that phosphors or boron in the gate poly are externally diffused ( Out Diffusion) is characterized in that it serves to prevent.

여기서, 상기 h) 단계의 게이트는 상기 게이트 산화막 상에 게이트 전극으로 활용될 폴리-실리콘을 LPCVD 방식으로 증착하여 형성되는 것을 특징으로 한다.Here, the gate of step h) is formed by depositing polysilicon to be utilized as a gate electrode on the gate oxide film by LPCVD method.

여기서, 상기 h) 단계의 게이트 두께는 1.5∼3배의 두께만큼 미리 증착되고, CMP 평탄화를 통해 1500Å∼3000Å만큼 형성되고, 상기 CMP 평탄화는 상기 제1 PSG가 형성되어 있는 높이만큼 진행되는 것을 특징으로 한다.Here, the gate thickness of step h) is pre-deposited by a thickness of 1.5 to 3 times, and is formed by 1500Å to 3000Å by CMP planarization, and the CMP planarization is performed by the height at which the first PSG is formed. It is done.

또한, 본 발명에 따른 반도체 소자의 게이트 형성 방법은, i) 상기 게이트 상에 N+(P+) 폴리 패터닝 및 N+(P+) 폴리 이온주입을 실시하는 단계; j) 상기 폴리 이온주입 후 게이트 활성화를 위한 어닐링을 실시하는 단계; k) 상기 게이트 상에 게이트 실리사이드를 형성하는 단계; 및 l) 노출된 전면에 제2 금속간 물질을 증착하는 단계를 추가로 포함할 수 있다.In addition, the gate forming method of a semiconductor device according to the present invention, i) performing N + (P +) poly patterning and N + (P +) poly ion implantation on the gate; j) performing annealing for gate activation after the poly ion implantation; k) forming a gate silicide on the gate; And l) depositing a second intermetallic material on the exposed front surface.

여기서, 상기 i) 단계는 인(Phosphorous)을 이온주입하여 NMOS를 형성하며, 상기 제1 PSG가 N+ 폴리 이온주입의 마스크 역할을 하고, 상기 i) 단계는 붕소(Boron)를 이온주입하여 PMOS를 형성하며, 상기 제1 PSG가 P+ 폴리 이온주입의 마스크 역할을 하는 것을 특징으로 한다.Here, step i) is implanted with phosphorous (Phosphorous) to form an NMOS, the first PSG serves as a mask of N + poly ion implantation, step i) is implanted boron (Boron) to implant the PMOS And the first PSG serves as a mask for P + poly ion implantation.

여기서, 상기 j) 단계의 어닐링 공정은 급속 열산화 공정(RTP)을 사용하며, 500∼1000℃에서 10초 내지 600초 동안 진행되는 것을 특징으로 한다.Here, the annealing process of step j) uses a rapid thermal oxidation process (RTP), it characterized in that for 10 seconds to 600 seconds at 500 ~ 1000 ℃.

본 발명에 따르면 게이트 산화막을 측벽이 형성된 다음에 실시함으로써 종래의 게이트 식각 열확산 공정과 세정 공정에서 발생될 수 있는 오염물의 침투를 방 지하여 브레이크다운 전압(BV) 특성을 향상시키고, 이에 따라 게이트 산화막 품질(GOI)의 특성을 향상시킬 수 있고, 또한, 게이트 산화막 형성 후에 NO 어닐링을 실시함으로써 붕소 침투를 방지하여 게이트 산화막 품질을 향상시킬 수 있으며, 또한, 열확산 방식에 의한 더미 산화막을 형성하여 게이트 산화막 형성 전에 스트립(strip)함으로써, 이온주입 및 손상으로부터 표면의 손상을 제거하여 게이트 산화막 품질을 향상시킬 수 있다.According to the present invention, the gate oxide film is formed after the sidewalls are formed, thereby preventing the infiltration of contaminants that may occur in the conventional gate etching thermal diffusion process and the cleaning process, thereby improving breakdown voltage (BV) characteristics. The characteristics of the quality (GOI) can be improved, and further, by performing NO annealing after the gate oxide film is formed, the boron penetration can be prevented to improve the gate oxide film quality, and a dummy oxide film by a thermal diffusion method is formed to form the gate oxide film. By stripping before formation, it is possible to remove surface damage from ion implantation and damage to improve gate oxide film quality.

이하, 첨부한 도면을 참조하여, 본 발명의 실시예에 따른 반도체 소자의 게이트 형성 방법을 설명한다.Hereinafter, a method of forming a gate of a semiconductor device according to an embodiment of the present invention will be described with reference to the accompanying drawings.

본 발명의 실시예는 게이트 형성을 기존의 열확산 공정과 세정 공정 실시 후에 실시함으로써, 종래의 게이트 식각 열확산 공정과 세정 공정에서 발생될 수 있는 오염물의 침투를 방지하여 브레이크다운 전압(BV) 특성을 향상시키고, 이에 따라 게이트 산화막 품질(GOI)의 특성을 향상시킬 수 있는 것을 개시한다.The embodiment of the present invention improves the breakdown voltage (BV) characteristics by preventing the infiltration of contaminants that may occur in the conventional gate etching thermal diffusion process and cleaning process by performing the gate formation after the conventional thermal diffusion process and cleaning process. It is disclosed that the characteristics of the gate oxide film quality (GOI) can be improved accordingly.

도 2는 본 발명의 실시예에 따른 게이트 산화막의 품질 저하를 방지할 수 있는 반도체 소자를 예시하는 도면이다.2 is a diagram illustrating a semiconductor device capable of preventing the deterioration of a gate oxide film according to an embodiment of the present invention.

도 2를 참조하면, Si-기판(211) 상에 소자 분리 영역(212)이 형성되어 있고, 상기 소자 분리 영역(212) 사이에 상기 MOSFET 채널의 전기적 특성을 결정하는 액티브 영역 내에 소스/드레인(215)이 형성되어 있다. 또한, 상기 소스/드레인(215) 상에 실리사이드(216)가 형성되어 있고, 또한, 제1 PSG(217)가 형성되어 있다.Referring to FIG. 2, an isolation region 212 is formed on a Si-substrate 211, and a source / drain region is formed in an active region that determines electrical characteristics of the MOSFET channel between the isolation regions 212. 215 is formed. The silicide 216 is formed on the source / drain 215, and the first PSG 217 is formed.

본 발명의 실시예에 따른 게이트는 더미 산화막을 미리 형성한 후, 이를 패터닝 및 식각하여 더미 게이트를 형성하게 되고, 상기 더미 게이트를 제거한 후, 게이트 산화막(219a, 219b)을 형성한 후, 게이트(220')를 형성하게 된다. 여기서, 상기 게이트 산화막(219a, 219b) 형성시에 NO 기체를 어닐링하여, SiON막(218a, 218b)을 형성하게 된다.In the gate according to the embodiment of the present invention, the dummy oxide layer is formed in advance, and then patterned and etched to form a dummy gate. After removing the dummy gate, the gate oxide layers 219a and 219b are formed, and then the gate ( 220 '). Here, the NO gas is annealed when the gate oxide films 219a and 219b are formed to form the SiON films 218a and 218b.

이때, 상기 NO 기체는 상기 게이트 산화막(219a)을 통과하여 상기 실리콘 기판(211)과 결합하여 표면상에 SiON 막을 형성하고, 상기 SiON 막의 두께는 2∼10Å이 형성되어 붕소(boron) 이온의 침투를 방지하게 된다. At this time, the NO gas is passed through the gate oxide film 219a to be bonded to the silicon substrate 211 to form a SiON film on the surface, the thickness of the SiON film is formed 2 ~ 10Å by the penetration of boron (boron) ion Will be prevented.

또한, 상기 NO 기체는 상기 측벽 질화막(214)과 게이트 산화막(219b)과의 계면에 실리콘막을 형성하고, 상기 실리콘막은 10Å 미만이 형성되어, 게이트 폴리 내의 인(Phosphors) 또는 붕소(Boron)가 외부 확산(Out Diffusion)되는 것을 막아주는 역할을 하게 된다.In addition, the NO gas forms a silicon film at an interface between the sidewall nitride film 214 and the gate oxide film 219b, and the silicon film is formed to be less than 10 GPa so that phosphors or boron in the gate poly are externally formed. It plays a role of preventing out diffusion.

따라서, 본 발명의 실시예에 따른 반도체 소자는, 상기 게이트 산화막(219a,219b)을 측벽 질화막(214)이 형성된 다음에 실시함으로써 오염물의 침투를 방지하여 브레이크다운 전압(BV) 특성을 향상시키게 된다. 또한, 상기 게이트 산화막(219a,219b) 형성 후에 NO 어닐링을 실시함으로써, 붕소 침투를 방지하게 되며, 또한, 열확산 방식에 의한 더미 산화막을 형성하여 게이트 산화막 형성 전에 스트립(strip)함으로써, 이온주입 및 손상으로부터 표면의 손상을 제거하게 된다.Therefore, in the semiconductor device according to the embodiment of the present invention, the gate oxide films 219a and 219b are formed after the sidewall nitride film 214 is formed, thereby preventing the infiltration of contaminants to improve breakdown voltage (BV) characteristics. . In addition, NO annealing is performed after the gate oxide films 219a and 219b are formed to prevent boron penetration, and a dummy oxide film is formed by a thermal diffusion method to strip before the gate oxide film is formed, thereby implanting and damaging the ion. To remove surface damage.

한편, 도 3a 내지 도 3n은 본 발명의 실시예에 따른 반도체 소자의 게이트 형성 방법을 나타내는 도면이다.3A to 3N are diagrams illustrating a gate forming method of a semiconductor device according to an exemplary embodiment of the present invention.

본 발명의 실시예에 따른 반도체 소자의 게이트 형성 방법은, 먼저, 도 3a를 참조하면, 소자분리막(212)이 형성된 실리콘 기판(211) 상에 P-타입 문턱전압(VTP) 또는 N-타입 문턱전압(VTN)용 이온주입을 실시하고, 이후, 손상방지 어닐링(Damage Annealing) 및 패드 산화막을 스트립하게 된다.In the method of forming a gate of a semiconductor device according to an embodiment of the present invention, first, referring to FIG. 3A, a P-type threshold voltage (VTP) or an N-type threshold is formed on a silicon substrate 211 on which an isolation layer 212 is formed. Ion implantation for voltage VTN is performed, followed by stripping damage annealing and pad oxide film.

구체적으로, P-타입 또는 N-타입 문턱전압(VT) 형성을 위한 이온주입을 실시하고, 다음으로, 상기 이온주입된 이온을 활성화시키고, 상기 실리콘 기판(211)의 이온주입 과정에서의 표면 손상 회복의 차원에서 손상방지 어닐링을 급속 열산화 공정(RTP) 장비를 이용하여 실시한다. 여기서, 상기 급속 열산화 공정(RTP)은 보통 100∼1050℃에서 질소(N2) 기체를 사용하여 10 초 내지 100 동안 실시한다.Specifically, ion implantation is performed to form a P-type or N-type threshold voltage (VT), followed by activating the ion implanted ions, and surface damage during ion implantation of the silicon substrate 211. In order to recover, damage prevention annealing is carried out using rapid thermal oxidation process (RTP) equipment. Here, the rapid thermal oxidation process (RTP) is usually carried out for 10 seconds to 100 using nitrogen (N 2 ) gas at 100 ~ 1050 ℃.

다음으로, 기존에 STI(212) 형성과 채널 형성을 위하여 필요했던 패드 산화막(pad oxide)을 제거한다.Next, the pad oxide layer (pad oxide), which was previously required for forming the STI 212 and forming the channel, is removed.

다음으로, 도 3b를 참조하면, 상기 패드 산화막이 제거된 노출된 전면에 더미 산화막(Dummy oxide) 형성한다.Next, referring to FIG. 3B, a dummy oxide layer is formed on the exposed entire surface from which the pad oxide layer is removed.

구체적으로, 전술한 이온주입 실시와 종래의 STI(212) 형성을 위한 CMP 평탄화 시에 발생한 실리콘 기판(211) 표면에 대한 물리적인 손상을 제거하기 위하여 통상적으로 열확산 산화 공정을 실시하여 산화막(도시되지 않음)을 형성하게 된다.Specifically, in order to remove physical damage to the surface of the silicon substrate 211 generated during the above-described ion implantation and the conventional CMP planarization for forming the STI 212, an oxide film (not shown) is typically performed. Not formed).

이때, 상기 열확산 산화 공정은 산소(O2) 기체 내지 산소+수소(O2+H2) 기체를 사용하여 상기 실리콘 기판(211)과 반응시켜 산화막(SiO2)을 형성하는 것을 말하며, 보통 노출된 표면에서 상기 실리콘 기판(211) 쪽으로 50% 정도의 산화막이 형성되고 상기 표면 상부 쪽으로 50% 정도가 산화됨으로써, 산화막이 형성된다.In this case, the thermal diffusion oxidation process refers to forming an oxide film (SiO 2 ) by reacting with the silicon substrate 211 using oxygen (O 2 ) gas or oxygen + hydrogen (O 2 + H 2 ) gas. An oxide film of about 50% is formed toward the silicon substrate 211 and the oxide film is oxidized about 50% toward the upper surface of the surface.

예를 들어, 1000Å의 산화막을 형성한다고 하면, 500Å은 처음의 실리콘 기 판(211) 안쪽으로 500Å가 형성되고, 상부 쪽으로 500Å가 형성된다.For example, if the oxide film of 1000 Å is formed, 500 Å is formed in the first silicon substrate 211 and 500 Å is formed in the upper side.

이와 같이 상부와 하부 쪽으로 산화막이 형성됨으로써, 상기 실리콘 기판(211)의 500Å 정도는 종래의 이온주입 시에 손상이 발생했던 곳으로서, 후속적으로 진행될 게이트 산화막의 품질을 저하시킬 수 있는 요소가 내포되어 있는 상태이다.As the oxide film is formed in the upper and lower portions as described above, about 500 GPa of the silicon substrate 211 is a place where damage occurs during conventional ion implantation, and an element capable of lowering the quality of the gate oxide film to be subsequently processed is included. It is in a state.

이러한 문제점을 제거하기 위해서 본 발명의 실시예에 따라 미리 더미 산화막(213)을 형성한다.In order to eliminate this problem, the dummy oxide film 213 is formed in advance according to the embodiment of the present invention.

여기서, 상기 더미 산화막(213)의 두께는 후속적으로 형성될 게이트 산화막과 게이트 폴리가 형성될 두께와 동일하게 실시한다. 통상적으로, 상기 더미 산화막(213)의 두께는 1500∼3000Å 두께로 실시한다.The thickness of the dummy oxide film 213 may be the same as that of the gate oxide film and the gate poly to be subsequently formed. Typically, the dummy oxide film 213 has a thickness of 1500 to 3000 GPa.

본 발명의 실시예에 따른 더미 산화막(213)은 후속적으로 식각되어 제거되고, 제거된 부분에 게이트 산화막과 및 게이트 폴리-실리콘이 형성된다.The dummy oxide film 213 according to the embodiment of the present invention is subsequently etched and removed, and a gate oxide film and a gate poly-silicon are formed in the removed portion.

다음으로, 도 3c를 참조하면, 상기 더미 산화막(213) 상에 게이트 패터닝 및 식각을 실시한다. 구체적으로, 후속적으로 게이트를 형성하기 위해 게이트 패턴을 형성하고, 이에 따른 식각을 실시하여 더미 게이트(213')를 형성한다.Next, referring to FIG. 3C, gate patterning and etching are performed on the dummy oxide layer 213. In detail, a gate pattern is subsequently formed to form a gate, and etching is performed to form a dummy gate 213 '.

다음으로, 도 3d를 참조하면, 상기 더미 게이트(213') 양쪽에 게이트 측벽을 형성하기 위하여 질화막(SiN Film)을 증착하고, 블랭킷 식각(Blanket etch)을 실시하여 게이트 측벽(214)을 형성한다. 여기서, 상기 질화막(214)의 두께는 보통 1000∼3000Å 정도로 실시하며, 이때, 상기 질화막(214) 형성을 위한 분위기 기체 로서 DCS(SiH2Cl2)+NH3을 반응시켜 Si3N4를 형성한다. Next, referring to FIG. 3D, a nitride film is deposited on both sides of the dummy gate 213 ′, and a blanket etch is performed to form the gate sidewall 214. . In this case, the thickness of the nitride film 214 is usually about 1000 to 3000 GPa, and at this time, Si 3 N 4 is formed by reacting DCS (SiH 2 Cl 2 ) + NH 3 as an atmosphere gas for forming the nitride film 214. do.

다음으로, 도 3e를 참조하면, 상기 실리콘 기판(211)의 액티브 영역 상에 소스/드레인(215)을 형성하기 위하여 이온주입과 어닐링을 실시한다.Next, referring to FIG. 3E, ion implantation and annealing are performed to form the source / drain 215 on the active region of the silicon substrate 211.

다음으로, 도 3f를 참조하면, 상기 소스/드레인(215) 상에 실리사이드(216)를 형성한다. 여기서, 현재 게이트(213')는 산화막(SiO2)으로 되어 있기 때문에 실리사이드가 형성되지 않고, 오직 상기 실리콘 기판(211) 상의 소스/드레인(215) 영역만 실리사이드가 형성된다.Next, referring to FIG. 3F, silicide 216 is formed on the source / drain 215. Here, since the gate 213 'is made of an oxide film SiO 2 , no silicide is formed, and only the source / drain 215 region on the silicon substrate 211 is formed of silicide.

다음으로, 도 3g를 참조하면, 게이트와 후속적으로 형성될 금속층을 분리하기 위하여 금속간 물질(PSG 또는 BPSG)을 사용하여 노출된 전면에 증착을 실시함으로써, 제1 PSG(217)를 형성하고, 후속적으로 CMP 평탄화를 실시한다. 이때, 상기 제1 PSG 증착 두께는 상기 더미 게이트(213') 높이의 1.5∼3배로 실시하며, 후속적인 CMP 평탄화에 의해 게이트(213') 높이와 동일해지게 된다.Next, referring to FIG. 3G, the first PSG 217 is formed by depositing on the exposed front surface using an intermetallic material (PSG or BPSG) to separate the gate and the metal layer to be subsequently formed. Subsequently, CMP planarization is performed. In this case, the thickness of the first PSG deposition is 1.5 to 3 times the height of the dummy gate 213 'and becomes equal to the height of the gate 213' by subsequent CMP planarization.

여기서, 상기 더미 게이트(213')인 더미 산화막의 두께가 1500∼3000Å이므로, 제1 PSG(217) 두께는 2250∼9000Å까지 형성한다. 이는 후속적으로 진행될 CMP 평탄화 시에 안전하게 게이트(213') 쪽을 보호하고, 또한, 정확한 CMP 두께를 타겟으로 하기 위한 것이다. 또한, 상기 CMP 평탄화 시에 상기 게이트의 양쪽의 측벽(214)이 손상되지 않도록 주의한다.Since the dummy oxide film serving as the dummy gate 213 'has a thickness of 1500 to 3000 GPa, the first PSG 217 has a thickness of 2250 to 9000 GPa. This is to protect the gate 213 'side safely during subsequent CMP planarization, and also to target the correct CMP thickness. Also, be careful not to damage the sidewalls 214 on both sides of the gate during the CMP planarization.

다음으로, 도 3h를 참조하면, 게이트를 형성하기 위하여 패터닝을 실시하고 이에 따른 식각을 실시하여 상기 더미 산화막(213')을 제거한다. 이때, 더미 산화 막(213') 식각은 습식(wet) 방식 또는 건식(dry) 방식 어느 방식을 사용해도 무방하다. 여기서, 도면부호 B는 식각에 의해 더미 산화막(213')이 제거된 것을 나타낸다.Next, referring to FIG. 3H, the dummy oxide layer 213 ′ is removed by patterning and etching according to forming a gate. In this case, the etching of the dummy oxide film 213 'may be performed by a wet method or a dry method. Here, reference numeral B denotes that the dummy oxide film 213 'is removed by etching.

다음으로, 도 3i를 참조하면, 상기 식각 부분(B)에 대해 게이트 산화막(219a, 219b)을 형성하고, NO(Nitric Oxygen) 어닐링을 실시한다. 구체적으로, 게이트 산화막(219a, 219b)을 형성하기 위하여 산소(O2) 기체 또는 산소+수소(O2+H2 ) 기체를 사용하여 700∼900℃에서 20∼200Å까지 실시한다.Next, referring to FIG. 3I, gate oxide films 219a and 219b are formed on the etching portion B, and nitric oxide (NO) annealing is performed. Specifically, in order to form the gate oxide films 219a and 219b, oxygen (O 2 ) gas or oxygen + hydrogen (O 2 + H 2 ) gas is used at 700 to 900 ° C. to 20 to 200 kPa.

이때, 상기 게이트 산화막(219a, 219b)은 바닥 면에서는 20∼200Å가 형성되지만, 게이트 질화막 측벽(214)이 형성된 부분은 질화막(SiN)으로서 산소(O2) 기체와 반응하여 두꺼운 산화막은 형성되지 않지만, 얇은 게이트 산화막(219b)이 10Å 미만으로 형성된다.In this case, the gate oxide films 219a and 219b are formed at a bottom surface of 20 to 200 GPa, but a portion where the gate nitride film sidewall 214 is formed is a nitride film (SiN) and reacts with oxygen (O 2 ) gas to form a thick oxide film. However, the thin gate oxide film 219b is formed to be less than 10 GPa.

이것은 ONO 커패시터(capacitor)를 형성하는 것과 같은 원리로서, ONO1(산화막)+ONO2(질화막) +ONO3(산화막) 형성시에 ONO3 형성시는 모니터링되는 웨이퍼 상에서는 300Å이면 질화막 표면상에는 약 20Å 미만으로 형성된다.This is the same principle as the formation of ONO capacitors. When ONO1 (oxide) + ONO2 (nitride) + ONO3 (oxide) is formed, when ONO3 is formed, it is less than about 20 kW on the surface of the nitride film at 300 mW on the monitored wafer. .

이후, 상기 게이트 산화막(219a, 219b)의 형성이 완료되면, 바로 이어서 NO(Nitric oxygen) 기체를 사용하여 어닐링을 실시하게 되는데, 여기서, 상기 NO 기체는 게이트 산화막(219a)을 통과하여 상기 실리콘 기판(211)과 결합하여 표면상에 SiON 막(218a)을 형성하게 된다. 상기 SiON 막(218a)은 붕소(boron)의 침투를 방지하게 되며, 이에 따라 GOI 특성을 향상시켜 주고, 또한 브레이크다운 전압 (Breakdown voltage)을 향상시켜 상기 게이트 산화막(219a, 219b)의 특성을 강화시켜 주는 역할을 한다.Thereafter, when the formation of the gate oxide films 219a and 219b is completed, annealing is performed immediately using NO (Nitric oxygen) gas, wherein the NO gas passes through the gate oxide film 219a to form the silicon substrate. In combination with (211) to form a SiON film 218a on the surface. The SiON film 218a prevents boron from penetrating, thereby improving GOI characteristics and also improving breakdown voltage, thereby enhancing the characteristics of the gate oxide films 219a and 219b. It plays a role.

상기 SiON 막(218a) 형성은 보통 N2:NO = 9.5L:0.5L을 사용하며, 공정 온도는 800∼950℃로 진행하고, 공정 압력은 700토르 미만에서 진행하며, 또한, 어닐링 시간은 10분 내지 60분 정도 실시하여 상기 SiON 막(218a)의 두께는 2∼10Å 정도를 형성한다.The SiON film 218a is usually formed using N 2 : NO = 9.5L: 0.5L, the process temperature proceeds at 800 to 950 ° C, the process pressure proceeds at less than 700 Torr, and the annealing time is 10 It is carried out for about 60 minutes to about 60 minutes to form the thickness of the SiON film 218a.

한편, 상기 측벽 질화막(214)과 게이트 산화막(219b)과의 계면에도 10Å 미만의 실리콘막(218b)이 형성되는데, 상기 실리콘막은 P/N 폴리 형성시 폴리 내의 인(Phosphors) 또는 붕소(Boron)가 외부 확산(Out Diffusion)되는 것을 막아주는 역할을 하게 된다. 여기서, 도면부호 C는 상기 게이트 산화막(219a, 219b) 및 SiON막(218a, 218b)이 형성되는 것을 상세하게 나타낸다.On the other hand, a silicon film 218b of less than 10 kV is formed at the interface between the sidewall nitride film 214 and the gate oxide film 219b, and the silicon film is formed of phosphorous or boron in poly when forming P / N poly. Prevents out diffusion. Here, reference numeral C denotes in detail that the gate oxide films 219a and 219b and the SiON films 218a and 218b are formed.

다음으로, 도 3j를 참조하면, 상기 게이트 산화막(219a, 219b) 상에 게이트 전극으로 활용될 폴리-실리콘을 LPCVD 방식으로 증착하여 게이트(220)를 형성한다.Next, referring to FIG. 3J, the gate 220 is formed by depositing poly-silicon to be utilized as a gate electrode on the gate oxide layers 219a and 219b by LPCVD.

여기서, 상기 게이트(220) 두께는 후속적으로 1500Å∼3000Å 정도를 형성하기 위하여 약 1.5∼3배의 두께만큼 미리 증착을 실시하게 되는데, 후속적으로 진행될 CMP 평탄화 시에 정확하게 게이트(220)를 형성하기 위한 것이다. 따라서, 상기 CMP 평탄화는 상기 제1 PSG(217)가 형성되어 있는 만큼, 즉, 게이트(220)의 높이인 1500∼3000Å를 목표로 진행시킨다.Here, the thickness of the gate 220 is to be deposited in advance by about 1.5 to 3 times the thickness in order to form a thickness of 1500 ~ 3000Å in advance, the gate 220 is accurately formed during the subsequent CMP planarization It is to. Therefore, the CMP planarization advances to 1500-3000 kPa, which is the height of the gate 220, as long as the first PSG 217 is formed.

여기서, 후속적으로 게이트 실리사이드 형성 및 제2 PSG 증착이 실시되는데 ,, 이때는 CMP를 하지 않아도 된다. 그 이유는 이미 본 발명의 실시예에 따른 도 3j의 CMP 평탄화 공정에서 평탄화가 이루어짐으로써 후속적으로 형성될 제2 PSG 증착 후에는 평탄화(CMP) 작업을 필요로 하지 않게 된다.Here, gate silicide formation and second PSG deposition are subsequently performed, in which case it is not necessary to perform CMP. The reason is that the planarization is already performed in the CMP planarization process of FIG. 3J according to the embodiment of the present invention, so that the planarization (CMP) operation is not required after the second PSG deposition to be subsequently formed.

다음으로, 도 3k를 참조하면, NMOS 또는 PMOS를 형성하기 위하여 패터닝과 이온주입을 실시한다.Next, referring to FIG. 3K, patterning and ion implantation are performed to form an NMOS or PMOS.

NMOS를 형성하기 위해서는 인(Phosphorous)으로 이온주입하게 되는데, 여기서 제1 PSG(217)는 N+ 폴리 이온주입의 마스크 역할을 하게 된다In order to form NMOS, ion implantation is performed with phosphorous (Phosphorous), where the first PSG 217 serves as a mask for N + poly ion implantation.

또한, PMOS를 형성하기 위해서는 붕소(Boron)를 이온주입하게 되는데, 여기서 제1 PSG(217)는 마찬가지로 P+ 폴리 이온주입의 마스크 역할을 한다.In addition, boron is implanted to form PMOS, where the first PSG 217 likewise serves as a mask for P + poly ion implantation.

다음으로, 도 3l을 참조하면, 폴리 이온주입을 활성화하기 위하여 어닐링을 실시하여 게이트(220')를 형성한다. 이때, 상기 어닐링 공정은 급속 열산화 공정(RTP)을 사용하며, 500∼1000℃에서 10초 내지 600초 동안 진행시킨다.Next, referring to FIG. 3L, the gate 220 ′ is formed by annealing to activate poly ion implantation. At this time, the annealing process uses a rapid thermal oxidation process (RTP), and proceeds for 10 seconds to 600 seconds at 500 ~ 1000 ℃.

다음으로, 도 3m을 참조하면, 상기 게이트(220') 상부에 티타늄(Ti)을 증착하여 실리사이드(221)를 형성한다.Next, referring to FIG. 3M, the silicide 221 is formed by depositing titanium (Ti) on the gate 220 ′.

다음으로, 도 3n을 참조하면, 상기 형성된 게이트(220')와 후속적으로 형성될 금속층을 분리하기 위해서 제2 PSG(222) 막 증착을 실시한다.Next, referring to FIG. 3N, the second PSG 222 film is deposited to separate the formed gate 220 ′ and the metal layer to be subsequently formed.

결국, 본 발명의 실시예에 따른 반도체 소자의 게이트 형성 방법은, 게이트 산화막을 측벽이 형성된 다음에 형성함으로써 종래의 게이트 식각 열확산 공정과 세정 공정에서 발생될 수 있는 오염물의 침투를 방지할 수 있게 된다.As a result, the gate forming method of the semiconductor device according to the embodiment of the present invention can prevent the infiltration of contaminants that may occur in the conventional gate etching thermal diffusion process and the cleaning process by forming the gate oxide layer after the sidewalls are formed. .

이상의 설명에서 본 발명은 특정의 실시예와 관련하여 도시 및 설명하였지 만, 특허청구범위에 의해 나타난 발명의 사상 및 영역으로부터 벗어나지 않는 한도 내에서 다양한 개조 및 변화가 가능하다는 것을 당업계에서 통상의 지식을 가진 자라면 누구나 쉽게 알 수 있을 것이다.While the invention has been shown and described in connection with specific embodiments thereof, it is well known in the art that various modifications and changes can be made therein without departing from the spirit and scope of the invention as indicated by the claims. Anyone who has a can easily know.

본 발명에 따르면 게이트 산화막을 측벽이 형성된 다음에 형성함으로써 종래의 게이트 식각 열확산 공정과 세정 공정에서 발생될 수 있는 오염물의 침투를 방지하여 브레이크다운 전압(BV) 특성을 향상시키고, 이에 따라 게이트 산화막 품질(GOI)의 특성을 향상시킬 수 있다.According to the present invention, the gate oxide film is formed after the sidewalls are formed, thereby preventing the infiltration of contaminants that may occur in the conventional gate etching thermal diffusion process and the cleaning process, thereby improving breakdown voltage (BV) characteristics, and thus the gate oxide film quality. It can improve the characteristics of (GOI).

또한, 본 발명에 따르면 게이트 산화막 형성 후에 NO 어닐링을 실시함으로써 붕소 침투를 방지하여 게이트 산화막 품질을 향상시킬 수 있다.In addition, according to the present invention, by performing NO annealing after the gate oxide film is formed, boron penetration can be prevented to improve the gate oxide film quality.

또한, 본 발명에 따르면 열확산 방식에 의한 더미 산화막을 형성하여 게이트 산화막 형성 전에 스트립(strip)함으로써, 이온주입 및 손상으로부터 표면의 손상을 제거하여 게이트 산화막 품질을 향상시킬 수 있다.In addition, according to the present invention, by forming a dummy oxide film by a thermal diffusion method and stripping the gate oxide film before forming the gate oxide film, it is possible to remove surface damage from ion implantation and damage, thereby improving gate oxide film quality.

Claims (22)

a) 소자분리막이 형성된 반도체 기판 상에 열확산 방식으로 더미 산화막을 형성하는 단계;a) forming a dummy oxide film on the semiconductor substrate on which the device isolation film is formed by thermal diffusion; b) 상기 더미 산화막 상에 게이트 패턴을 형성하고 이에 따른 식각을 실시하여 더미 게이트를 형성하는 단계;b) forming a dummy gate by forming a gate pattern on the dummy oxide layer and etching the gate pattern; c) 상기 더미 게이트의 양쪽 측벽에 질화막을 증착하여 게이트 측벽을 형성하는 단계;c) depositing nitride films on both sidewalls of the dummy gate to form gate sidewalls; d) 상기 반도체 기판의 액티브 영역 상에 이온을 주입하여 소스/드레인을 형성하고, 상기 소스/드레인 상에 실리사이드를 형성하는 단계;d) implanting ions on the active region of the semiconductor substrate to form a source / drain and forming silicide on the source / drain; e) 노출된 전면에 제1 금속간 물질(PSG)을 증착하고 화학 기계적 연마(CMP) 평탄화를 실시하는 단계;e) depositing a first intermetallic material (PSG) on the exposed front surface and performing chemical mechanical polishing (CMP) planarization; f) 패터닝 및 식각을 실시하여 상기 더미 게이트를 제거하는 단계;f) patterning and etching to remove the dummy gate; g) 상기 더미 게이트가 제거된 부분에 게이트 산화막을 증착하고, NO(Nitric Oxygen) 기체를 사용하여 어닐링을 실시하는 단계; 및g) depositing a gate oxide film on a portion where the dummy gate is removed, and performing annealing using NO (Nitric Oxygen) gas; And h) 상기 게이트 산화막 상에 게이트 폴리를 증착하고 CMP 평탄화를 실시하여 게이트를 형성하는 단계;h) depositing a gate poly on the gate oxide layer and performing CMP planarization to form a gate; i) 상기 게이트 상에 N+(P+) 폴리 패터닝 및 N+(P+) 폴리 이온주입을 실시하는 단계;i) performing N + (P +) poly patterning and N + (P +) poly ion implantation on the gate; j) 상기 폴리 이온주입 후 게이트 활성화를 위한 어닐링을 실시하는 단계;j) performing annealing for gate activation after the poly ion implantation; k) 상기 게이트 상에 게이트 실리사이드를 형성하는 단계; 및k) forming a gate silicide on the gate; And l) 노출된 전면에 제2 금속간 물질을 증착하는 단계l) depositing a second intermetallic material on the exposed front surface 를 포함하는 반도체 소자의 게이트 형성 방법.Gate forming method of a semiconductor device comprising a. 제1항에 있어서,The method of claim 1, 상기 a) 단계의 더미 산화막의 두께는 상기 게이트 산화막 및 게이트 폴리가 형성될 두께와 동일한 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The thickness of the dummy oxide film of step a) is the same as the thickness of the gate oxide film and the gate poly to be formed. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 a) 단계의 더미 산화막의 두께는 1500∼3000Å인 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.And a thickness of the dummy oxide film of step a) is 1500 to 3000 kPa. 제1항에 있어서,The method of claim 1, 상기 c) 단계의 질화막의 두께는 1000∼3000Å인 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.And the thickness of the nitride film of step c) is 1000 to 3000 kV. 제1항에 있어서,The method of claim 1, 상기 c) 단계의 질화막 형성을 위한 분위기 기체로서 DCS(SiH2Cl2)+NH3을 반응시켜 Si3N4를 형성하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법. C) forming Si 3 N 4 by reacting DCS (SiH 2 Cl 2 ) + NH 3 as an atmosphere gas for forming the nitride film of step c). 제1항에 있어서,The method of claim 1, 상기 d) 단계는 상기 소스/드레인 상에만 실리사이드를 형성시키는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.In step d), the silicide is formed only on the source / drain. 제1항에 있어서,The method of claim 1, 상기 e) 단계의 제1 PSG 증착 두께는 상기 더미 게이트 높이의 1.5∼3배로 실시하고, 상기 CMP 평탄화에 의해 게이트 높이와 동일해지는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.And the first PSG deposition thickness of step e) is 1.5 to 3 times the height of the dummy gate, and is equal to the gate height by the CMP planarization. 제1항에 있어서,The method of claim 1, 상기 g) 단계의 게이트 산화막은 산소(O2) 기체 또는 산소+수소(O2+H2) 기체를 사용하여 700∼900℃에서 형성되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The gate oxide film of step g) is formed at 700 ~ 900 ℃ using oxygen (O 2 ) gas or oxygen + hydrogen (O 2 + H 2 ) gas. 제1항에 있어서,The method of claim 1, 상기 g) 단계의 게이트 산화막은 바닥 면에서는 20∼200Å가 형성되고, 상기 게이트 질화막 측벽이 형성된 부분은 질화막(SiN)으로서 산소(O2) 기체와 반응하여 두꺼운 산화막은 형성되지 않고, 10Å 미만 얇은 게이트 산화막이 형성되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The gate oxide film of step g) is formed at a bottom surface of 20 to 200 GPa, and the portion of the gate nitride film sidewall is formed as a nitride film (SiN) to react with oxygen (O 2 ) gas so that a thick oxide film is not formed. A method for forming a gate of a semiconductor device, characterized in that a gate oxide film is formed. 제1항에 있어서,The method of claim 1, 상기 g) 단계의 NO 기체는 상기 게이트 산화막을 통과하여 상기 실리콘 기판과 결합하여 표면상에 SiON 막을 형성하는 것을 특징으로 하는 반도체 소자의 게이 트 형성 방법.And the NO gas of step g) passes through the gate oxide film and is combined with the silicon substrate to form a SiON film on a surface thereof. 제10항에 있어서,The method of claim 10, 상기 SiON 막은 N2:NO = 9.5L:0.5L을 사용하여 형성되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The SiON film is formed using N 2 : NO = 9.5L: 0.5L, the gate forming method of a semiconductor device. 제10항에 있어서,The method of claim 10, 상기 SiON 막의 두께는 2∼10Å이 형성되어 붕소(boron) 이온의 침투를 방지하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The thickness of the SiON film is 2 to 10Å is formed to prevent the penetration of boron ions, the gate forming method of a semiconductor device. 제1항에 있어서,The method of claim 1, 상기 g) 단계의 NO 기체 어닐링은 800∼950℃의 공정 온도로 진행하고, 700토르 미만의 공정 압력으로 진행하며, 어닐링 시간은 10분 내지 60분 동안 실시하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The NO gas annealing of step g) is performed at a process temperature of 800 to 950 ° C., a process pressure of less than 700 Torr, and annealing time is performed for 10 to 60 minutes. Way. 제1항에 있어서,The method of claim 1, 상기 g) 단계의 NO 기체는 상기 측벽 질화막과 게이트 산화막과의 계면에 실리콘막을 형성하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.And the NO gas of step g) forms a silicon film at an interface between the sidewall nitride film and the gate oxide film. 제14항에 있어서,The method of claim 14, 상기 실리콘막은 10Å 미만이 형성되어, 게이트 폴리 내의 인(Phosphors) 또는 붕소(Boron)가 외부 확산(Out Diffusion)되는 것을 막아주는 역할을 하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The silicon film has a thickness of less than 10 GPa, and serves to prevent the out diffusion of the phosphor (Phosphors) or boron in the gate poly (Out Diffusion). 제1항에 있어서,The method of claim 1, 상기 h) 단계의 게이트는 상기 게이트 산화막 상에 게이트 전극으로 활용될 폴리-실리콘을 LPCVD 방식으로 증착하여 형성되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The gate of step h) is formed by depositing poly-silicon to be utilized as a gate electrode on the gate oxide film by the LPCVD method. 제1항에 있어서,The method of claim 1, 상기 h) 단계의 게이트 두께는 1.5∼3배의 두께만큼 미리 증착되고, CMP 평탄화를 통해 1500Å∼3000Å만큼 형성되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The gate thickness of the step h) is deposited in advance by 1.5 to 3 times the thickness, the gate forming method of a semiconductor device, characterized in that formed by 1500Å to 3000Å by CMP planarization. 제17항에 있어서,The method of claim 17, 상기 CMP 평탄화는 상기 제1 PSG가 형성되어 있는 높이만큼 진행되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.And the CMP planarization is performed by a height at which the first PSG is formed. 삭제delete 제1항에 있어서,The method of claim 1, 상기 i) 단계는 인(Phosphorous)을 이온주입하여 NMOS를 형성하며, 상기 제1 PSG가 N+ 폴리 이온주입의 마스크 역할을 하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.In step i), phosphorus (Phosphorous) is implanted to form an NMOS, wherein the first PSG serves as a mask of N + poly ion implantation method. 제1항에 있어서,The method of claim 1, 상기 i) 단계는 붕소(Boron)를 이온주입하여 PMOS를 형성하며, 상기 제1 PSG가 P+ 폴리 이온주입의 마스크 역할을 하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.In step i), boron is implanted to form a PMOS, and the first PSG serves as a mask for P + poly ion implantation. 제1항에 있어서,The method of claim 1, 상기 j) 단계의 어닐링 공정은 급속 열산화 공정(RTP)을 사용하며, 500∼1000℃에서 10초 내지 600초 동안 진행되는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.The annealing process of step j) uses a rapid thermal oxidation process (RTP), and the gate forming method of a semiconductor device, characterized in that for 10 seconds to 600 seconds at 500 ~ 1000 ℃.
KR1020040111561A 2004-12-23 2004-12-23 A method for forming gate of semiconductor device KR100677042B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040111561A KR100677042B1 (en) 2004-12-23 2004-12-23 A method for forming gate of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040111561A KR100677042B1 (en) 2004-12-23 2004-12-23 A method for forming gate of semiconductor device

Publications (2)

Publication Number Publication Date
KR20060072829A KR20060072829A (en) 2006-06-28
KR100677042B1 true KR100677042B1 (en) 2007-01-31

Family

ID=37165970

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040111561A KR100677042B1 (en) 2004-12-23 2004-12-23 A method for forming gate of semiconductor device

Country Status (1)

Country Link
KR (1) KR100677042B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US8535998B2 (en) * 2010-03-09 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0137901B1 (en) * 1994-02-07 1998-04-27 문정환 Mos transistor device & method for fabricating the same
KR20010058614A (en) * 1999-12-30 2001-07-06 박종섭 Method For Forming The Gate Oxide Having Double Structure
KR20020060843A (en) * 2001-01-12 2002-07-19 주식회사 하이닉스반도체 Method of fabricating a semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0137901B1 (en) * 1994-02-07 1998-04-27 문정환 Mos transistor device & method for fabricating the same
KR20010058614A (en) * 1999-12-30 2001-07-06 박종섭 Method For Forming The Gate Oxide Having Double Structure
KR20020060843A (en) * 2001-01-12 2002-07-19 주식회사 하이닉스반도체 Method of fabricating a semiconductor device

Also Published As

Publication number Publication date
KR20060072829A (en) 2006-06-28

Similar Documents

Publication Publication Date Title
JP3523151B2 (en) Method for manufacturing MOS transistor
KR100440263B1 (en) Transistor in a semiconductor device and a method of manufacturing the same
US7078282B2 (en) Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
JP3790237B2 (en) Manufacturing method of semiconductor device
US5082794A (en) Method of fabricating mos transistors using selective polysilicon deposition
JP3875455B2 (en) Manufacturing method of semiconductor device
US7754593B2 (en) Semiconductor device and manufacturing method therefor
US6917085B2 (en) Semiconductor transistor using L-shaped spacer
US7015107B2 (en) Method of manufacturing semiconductor device
JP2006202860A (en) Semiconductor device and its manufacturing method
KR100677042B1 (en) A method for forming gate of semiconductor device
JP3063898B2 (en) Method for manufacturing semiconductor device
JPH10303141A (en) Semiconductor device and its manufacture
US9076818B2 (en) Semiconductor device fabrication methods
KR100617051B1 (en) method for manufacturing of semiconductor device
JP5130677B2 (en) Manufacturing method of semiconductor devices
KR100390949B1 (en) Method of manufactuing a transistor in a semiconductor device
JP2009016754A (en) Semiconductor device and its manufacturing method
KR100408862B1 (en) Method of forming a isolation layer in a semiconductor device
JP3601334B2 (en) Method of manufacturing semiconductor device having trench element isolation region
KR100247811B1 (en) Method for manufacturing semiconductor device
KR100665829B1 (en) Gate structure of semiconductor devices
KR100305187B1 (en) Method for manufacturing gate oxynitride of semiconductor devices
JPH1041407A (en) Manufacture of semiconductor device
KR100688709B1 (en) Method for manufacturing mos transistor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111220

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee