KR100562193B1 - 다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된psm을 활용하는 개선된 리소그래피패터닝을 위한 방법 - Google Patents

다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된psm을 활용하는 개선된 리소그래피패터닝을 위한 방법 Download PDF

Info

Publication number
KR100562193B1
KR100562193B1 KR1020020049240A KR20020049240A KR100562193B1 KR 100562193 B1 KR100562193 B1 KR 100562193B1 KR 1020020049240 A KR1020020049240 A KR 1020020049240A KR 20020049240 A KR20020049240 A KR 20020049240A KR 100562193 B1 KR100562193 B1 KR 100562193B1
Authority
KR
South Korea
Prior art keywords
pattern
spatial frequency
lithographic pattern
frequency components
lithographic
Prior art date
Application number
KR1020020049240A
Other languages
English (en)
Other versions
KR20030017359A (ko
Inventor
흐스츙웨이
흐스스티븐
레이디그토마스
판덴브로에크더글라스
첸장풍
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20030017359A publication Critical patent/KR20030017359A/ko
Application granted granted Critical
Publication of KR100562193B1 publication Critical patent/KR100562193B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components

Abstract

광학 노광툴을 사용하여 반도체기판상에 높은 투과 감쇠된 위상시프트마스크를 이용하는 집적회로에 대응하는 리소그래피패턴을 광학적으로 전사하는 방법. 상기 방법은 상기 리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 구성요소를 나타내는 것을 특징으로 하는 회절패턴을 발생시키는 단계; 상기 리소그래피패턴을 정확히 모사하기 위해서 상기 공간주파수 구성요소 중 어느 것이 상기 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는지를 결정하는 단계; 상기 리소그래피패턴을 정확히 모사하는데 필요한 상기 공간주파수 구성요소를 캡처하기 위하여 상기 광학 노광툴에 요구되는 조명조건의 세트를 결정하는 단계; 및 상기 조명조건의 상기 세트로 상기 높은 투과 감쇠된 위상시프트마스크를 조명하는 단계를 포함한다.

Description

다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된 PSM을 활용하는 개선된 리소그래피패터닝을 위한 방법 {Method For Improved Lithographic Patterning Utilizing Multiple Coherency Optimized Exposures And High Transmission Attenuated PSM}
도 1a 내지 도 1d는 리소그래피시스템에 의하여 묘화될 수 있는 최소 피치의 감소를 고려한 오프액시스 조명기술의 이용법의 도면,
도 2a는 본 발명의 방법을 이용하여 묘화될 전형적인 "벽돌벽"패턴의 도면,
도 2b는 도 2a의 "벽돌벽"패턴의 4개의 공간주파수 성분의 도면,
도 3은 도 2a의 "벽돌벽"패턴에 의하여 생성된 회절패턴의 도면,
도 4는 도 3에 예시된 회절패턴을 발생시키는데 이용된 노광파장의 대략 1/2인 노광파장을 사용하는 도 2a의 "벽돌벽"패턴에 의하여 생성된 회절패턴의 도면,
도 5는 σ가 1.0이고, 노광파장이 248nm인 종래의 조명으로 설정된 일루미네이터에서 기인하는 도 3의 회절패턴에 대응하는 퓨필필패턴(pupil fill pattern)의 도면,
도 6a는 도 2a의 "벽돌벽"패턴의 수평 피처를 패터닝시키도록 최적화된 Y-쌍극자 노광설정의 도면,
도 6b는 도 6a의 Y-쌍극자 노광설정을 이용하는 "벽돌벽"패턴의 시뮬레이션 의 에어리얼이미지의 도면,
도 7a는 도 2a의 "벽돌벽"패턴의 수직피처를 패터닝시키도록 최적화된 종래의 조명설정의 도면,
도 7b는 도 7a의 종래의 조명설정을 이용하는 "벽돌벽"패턴의 시뮬레이션의 에어리얼이미지의 도면,
도 8은 도 6a 및 도 7a 양자 모두의 최적화된 노광을 이용하는 "벽돌벽"패턴의 결과 에어리얼이미지의 도면,
도 9a는 본 발명의 방법을 이용하여 묘화될 전형적인 어두운 필드콘택트홀패턴(dark field contact hole pattern)의 도면,
도 9b는 도 9a의 콘택트홀패턴의 7개의 공간주파수 성분의 도면,
도 10은 도 9a의 콘택트홀에 의하여 생성된 회절패턴의 도면,
도 11은 도 10에 예시된 회절패턴을 생성하는데 이용된 노광파장의 대략 1/2인 노광파장을 이용하는 도 9a의 콘택트홀패턴에 의하여 생성된 회절패턴의 도면,
도 12는 σ가 1.0이고, 노광파장이 248nm인 종래의 조명으로 설정된 일루미네이션에서 기인하는 도 10의 회절패턴에 대응하는 퓨필필패턴의 도면,
도 13a는 NA = 60을 구비한 248nm의 파(wave)에 의하여 캡처된 도 9a의 콘택트홀패턴의 회절차수의 도면,
도 13b는 콘택트홀패턴의 중앙의 6개의 회절차수를 캡처하여 발생하는 도 9a의 콘택트홀패턴의 에어리얼이미지의 도면,
도14는 도 9a의 콘택트홀패턴을 적절하게 묘화시키는데 필요한 회절 구성요 소를 포함하는 수정된 패턴의 도면,
도 15는 도 14의 패턴에 의하여 생성된 회절패턴의 도면,
도 16은 도 15에 예시된 회절패턴을 생성하는데 이용된 노광파장의 대략 1/2인 노광파장을 이용하는 도 14의 패턴에 의하여 생성된 회절패턴의 도면,
도 17은 +/-45°의 각도 및 σ=0.85의 가간섭성 설정에 의하여 캡처된 도 14의 패턴의 회절차수의 도면,
도 18a는 도 9a의 밀도가 낮은 콘택트홀 패턴의 행을 묘화시키도록 최적화된 QUASAR조명설정의 도면,
도 18b는 90/60/30, 0.80NA, 17mJ로 설정되는 도 18a의 QUASAR조명설정을 이용하는 콘택트홀패턴의 시뮬레이션의 에어리얼이미지의 도면,
도 19는 x축 및 y축 모두를 따라 σ=0.45인 가간섭성 설정에 의하여 캡처된 도 14의 패턴의 회절차수의 도면,
도 20a는 도 9a의 콘택트홀패턴보다 더 조밀하거나 덜 조밀하게 묘화시키도록 최적화된 QUASAR조명설정의 도면,
도 20b는 60/30/30, 0.80NA, 14mJ로 설정되는 도 20a의 QUASAR조명설정을 이용하는 콘택트홀패턴의 시뮬레이션의 에어리얼이미지의 도면,
도 21은 도 18a 및 도 20a 양자 모두의 최적화된 노광을 이용하는 콘택트홀패턴의 결과 에어리얼이미지의 도면,
도 22는 본 발명으로 사용하기 적절한 리소그래피투영장치의 개략적인 도면이다.
본 발명은 개선된 리소그래피공정에 관한 것으로, 특히 노광파장보다 현저하게 작은 피치 및 다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된 위상시프트마스크(PSM)를 활용하는 1:1에 가까운 피치비율을 갖는 피처의 인쇄를 고려하는 방법에 관한 것이다. 또한 본 발명은,
- 방사선의 투영빔을 공급하는 방사선시스템;
- 소정의 패턴에 따라 투영빔을 패터닝시키는 역할을 하는 패터닝수단(예를 들어, 마스크)을 지지하는 지지구조체;
- 기판을 잡아주는 기판테이블; 및
- 기판의 타겟부상으로 패터닝된 빔을 투영시키는 투영시스템을 일반적으로 포함하는 리소그래피투영장치의 사용방법에 관한 것이다.
예를 들어, 리소그래피투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이 경우에, 리소그래피공정에 사용된 마스크는 IC의 각각의 층에 대응되는 회로패턴을 형성할 수 있으며, 이 패턴은 이후에 방사선 감지물질(레지스트)층으로 도포된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 1이상의 다이로 구성되는)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 인접해 있는 타겟부들의 전체적인 네트워크를 포함하고, 이들 타겟부는 투영시스템에 의하여 한번에 하나씩 연속적으로 조사된다. 어느 한 형식의 리소그래피투영장치에서는 타겟부상으로 전체 마스크 패 턴을 한번에 노광함으로써 각 타겟부가 조사되는데, 이러한 장치를 통상적으로 웨이퍼 스테퍼(wafer stepper)라고 한다. 통상, 스텝-앤드-스캔 장치(step-and-scan apparatus)라고 불리워지는 대체 장치에서는 소정의 기준 방향("스캐닝 방향")으로 투영빔 하의 마스크 패턴을 점진적으로 스캐닝하는 한편, 상기 스캐닝 방향과 같은 방향 또는 반대 방향으로 기판테이블을 동기적으로 스캐닝함으로써 각 타겟부가 조사된다. 일반적으로, 투영시스템은 배율인자 M(일반적으로 < 1)을 가지므로 기판테이블이 스캐닝되는 속도 V는 마스크테이블이 스캐닝되는 속도의 인자 M배가 된다. 본 명세서에 참고자료로 채택되고, 여기서 서술된 리소그래피장치에 관한 보다 상세한 정보는, 예를 들어 미국특허 US 6,046,792호에서 찾을 수 있다.
리소그래피투영장치를 사용하는 제조공정에서, 마스크 패턴은 방사선 감지물질(레지스트)층에 의하여 적어도 부분적으로 도포되는 기판상으로 묘화된다. 이 묘화 단계에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 여러가지 과정을 거칠 수 있다. 노광 후에는, 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 형상의 측정/검사와 같은 또 다른 과정을 거치게 된다. 이러한 일련의 과정은, 예를 들어 IC와 같은 디바이스의 각각의 층을 패터닝하는 기초로서 사용된다. 이렇게 패터닝된 층은 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은, 각각의 층을 가공하기 위한 여러 공정을 거친다. 여러 개의 층이 요구된다면, 새로운 층마다 전체공정 또는 그것의 변형된 공정이 반복되어져야만 할 것이다. 그 결과로, 기판(웨이퍼)상에는 집적회로 디바이스의 배열이 존재하게 될 것이다. 이들 집적회로 디바이스는 다이싱 또는 소잉 등의 기술에 의하여 서로 분리되고, 이들 각각의 디바이스는 캐리어에 장착되고 핀 등에 접속될 수 있다. 본 명세서에서 참고자료로 채택되고 있는 이와 같은 공정에 관한 추가정보는 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위하여, 상기 투영시스템은 이후에 "렌즈"라고 언급 될 것이다. 하지만 이 용어는 예를 들어, 굴절광학기, 반사광학기, 반사굴절(catadioptric)시스템을 포함하는 다양한 형태의 투영시스템을 내포하는 것으로서 폭 넓게 해석되어야 한다. 상기 방사선시스템은 방사투영빔을 지향, 성형 또는 제어하는 이들 설계형식 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이후에 설명에서는 이러한 구성요소들을 집합적으로 또는 개별적으로 "렌즈"라고 언급할 것이다. 나아가, 상기 리소그래피장치는 2이상의 기판테이블 (및/또는 2이상의 마스크테이블)을 구비하는 형태가 될 수도 있다. 이러한 "다수 스테이지" 장치에서, 추가테이블이 병행으로 사용될 수 있으며, 1이상의 스테이지가 노광에 사용되고 있는 동안, 1이상의 다른 스테이지에서는 준비작업단계가 수행될 수 있다. 본 명세서에서 참고자료로 채택되는 트윈 스테이지 리소그래피장치는, 예를 들어, 미국특허 US 5,969,441호 및 국제특허출원 WO 98/40791호에 개시되어 있다.
물론, 집적회로 제조의 한 목적은 원래의 디자인을 (마스크를 통하여)웨이퍼상에 정확히 모사(reproduce)하는 것이다. 반도체 제조공정에서 더 작은 피처를 묘화시키기위한 요구가 계속됨에 따라, 기존의 광학 리소그래피의 한계가 거듭하여 초과되고 있다.
몇몇 광학 확장접근법이 수년에 걸쳐 제안되어 왔고, 일찍이 1980년대에 처음으로 발표된 교번 어퍼처 위상시프트마스크(alt-PSM)가 가장 주목할만 하다. 레티클을 제조할 수 있는 능력, 위상 대립(phase conflict), 설계 제약 및 레티클 형태(reticle topography)와 같은, alt-PSM 특정 응용에서의 한계는 전자기장을 전파하는데 영향을 주므로, 이러한 접근법이 제조에 폭넓게 사용되는 것을 막아왔다. 상기의 문제들을 어느 정도 보정하는, 60-120-180도의 다수위상레티클, 90-270위상레티클 및 2중 트렌치공정을 포함하는 교번 어퍼처 위상시프트레티클의 변형 예들이 채택되어, 웨이퍼리소그래피공정에서 제한적으로 응용되어 왔다. 그러나, 제조에 실용될 수 있는 프로세스를 만들기 위하여, 2-레티클, 즉 보충 alt-PSM(complementary alt-PSM)이 채택되어 왔고, 이것이 현재 실제 생산에서 alt-PSM을 구현하기위한 가장 일반적인 방법이다.
이러한 보충 alt-PSM접근법에서, 임계 게이트 지오메트리(즉, 인쇄될 최소 피처크기)는 설계로부터 추출되어, 게이트층의 여분의 패턴과 별개로 묘화될 수 있다. 게이트패턴은 각각의 게이트의 한쪽상에 잘려진 윈도우를 갖고, 윈도우 중의 하나는 다른 한쪽에 대하여 180°만큼 위상시프트되어 있는 "어두운 필드(dark field)" PSM레티클을 사용하여 묘화될 수 있으므로, 게이트 지오메트리에 걸쳐 소정의 교번 어퍼처위상시프트를 제공할 수 있다. 상기 게이트가 패터닝되는 영역에 차단블록을 포함하고 있는 제2바이너리 레티클은 비임계(non-critical) 지오메트리 및 제1노광시 노광되지 않은 필드영역을 노광하는데 사용된다. 그러나, 이러한 2개의 노광방법을 사용하면 스루풋의 손실, 다수의 레티클의 사용, 레티클배치에러 및 스탭퍼정렬에 의하여 발생된 제1 및 제2오버레이에러 뿐만 아니라 제1 및 제2노광의 경계에서 발생하는 묘화일그러짐이 발생한다.
서브-파장의 피처를 인쇄하는데 필요한 해상도 증강을 얻기 위한 보충 alt-PSM방법과 관련된 이러한 손실이 허용가능하다고 하더라도, 분해될 수 있는 최소피치를 감소시키는 한 이익이 거의 없다. 특히, 게이트패턴의 한쪽의 위상차에서 기인하는 최고 해상도 증강을 얻기 위하여, 더욱 가간섭성의 조명(σ<=0.30)을 사용하는 것이 바람직하다. 이렇게 하면, 고립된 피처(isolated feature)에 관한 1:1에 가까운 피치로부터의 빠르고 급격한 CD변화 또는 매우 엄격한 코너라운딩과 같은 매우 강한 근접효과를 만들어낼 수 있다. 상기 2가지 요건들은 이전에도 어려웠던 설계요건을 더욱 복잡하게 하고, 실제적인 구현이 더욱 제한된다.
alt-PSM을 제외한 여타의 포토마스크에 대한 최소게이트피치는 묘화시스템의 파장(λ)을 렌즈의 개구수(NA)로 나눈 값 또는 대략 0.50의 Kpitch로 제한된다. 이러한 피치제한을 극복하는 한 방법은 실제적으로 피치를 2배가 되도록 제1노광에서 하나 걸러 하나의 피처를 인쇄한 후, 제1노광으로 노광된 피처들사이의 피처를 제2노광으로 인쇄하는 것이다. 또한, 더 복잡한 방식으로 소정의 패턴을 분리(decompose)하여, 분해될 수 있는 정도로 증가된 최소피치를 갖는 2이상의 패턴을 만들고, 하나의 위에 다른 하나의 패턴을 묘화하는 결과가 최종 소정의 패턴이 된다. 본 패턴분리방법은 바이너리레티클을 사용하여 구현될 수 있다. 그러나, 이러한 분리방법에서는, 위상시프트에서 발생하는 더이상의 해상도 증강이 없다. 또한, 이 분리방법은 스루풋, 다수의 레티클, 노광오버레이 정확도 및 노광간의 경계를 포함하여 여타의 다수의 노광에서와 동일한 단점을 갖는다.
따라서, 현재의 광학과학기술은 특정 파장을 사용하여 인쇄될 수 있는 최소 피치에 있어서 제한되고 있다. kpitch라 칭해지는 이 피치는 다음과 같이 정의된다.
kpitch = (피치/2)*(NA/λ)
여기서, NA는 노광시스템의 개구수이고, λ는 노광파장이다. 실제로, 광학리소그래피의 현재 방법들에서는 kpitch가 대략 0.50으로 제한된다.
따라서, 일반적으로 이용가능한 포토리소그래피장치의 피치 해상능력을 향상시킬 수 있고(즉, kpitch를 감소시킬 수 있고), 일반적으로 공지된 기술과 관련된 결점을 극복할 수 있는 포토리소그래피방법이 필요하다.
따라서, 본 발명의 목적은 일반적으로 이용가능한 포토리소그래피장치의 피치 해상 능력을 향상시키고 일반적으로 공지된 기술과 관련된 결점을 극복하는 리소그래피공정을 제공하는 것이다.
상세하게는, 본 발명은 광학적 노광의 사용에 의해, 반도체기판상으로 높은 투과 감쇠된 위상시프트마스크를 이용하여 집적회로에 대응하는 리소그래피패턴을 광학적으로 전사(transfer)시키는 방법에 관한 것이다. 본 발명은 회절패턴이 리소그래피패턴에 대응하는 복수의 공간주파수 성분을 나타내는 경우에, 상기 리소그래피패턴에 대응하는 상기 회절패턴을 생성하는 단계; 상기 리소그래피패턴을 정확하게 모사하기 위하여 광학 노광툴의 렌즈에 의하여 캡처될 필요가 있는 공간주파수 성분들을 결정하는 단계; 리소그래피패턴을 정확하게 모사하는데 필요한 상기 공간주파수 성분을 캡처하기 위하여 상기 광학노광툴에 필요한 조명조건의 세트를 결정하는 단계; 및 상기 세트의 조명조건으로 높은 투과 감쇠된 위상시프트마스크를 조명하는 단계를 포함한다.
이하에 상세히 설명되는 바와 같이, 본 발명은 종래의 기술보다 현저한 이점을 갖는다. 가장 중요하게, 본 발명의 새로운 방법은, 피치 해상 능력을 증강시키기 위하여, 매우 높은 투과 감쇠된 PSM, 새로운 조명, 쇄신된 노광기술 및 회절패턴의 설계를 사용하는, 광학적 확장을 제공한다. 본 발명의 방법은 노광파장보다 작은 피치를 갖고, 1:1에 가까운 피치비율을 갖는 피처를 인쇄할 수 있고, kpitch를 0.30까지 연장시킨다. 또한, 본 발명의 방법은 묘화공정에서 상이한 레티클의 사용을 요구하는 다중 노광기술과 관련된 문제도 제거한다. 이하에 더 상세히 설명되는 바와 같이, 본 발명의 방법은 단일 레티클을 이용함에 따라, 예를 들어, 레티클배치에러와 같은 문제를 제거한다.
당업자들은 아래에 상세히 설명되는 본 발명의 전형적인 실시예를 통하여 본 발명의 추가 이점을 명백히 이해할 수 있다.
본 명세서에서는 IC의 제조에서의 본 발명에 따른 장치의 사용에 있어서, 특 정한 적용예에 대하여 언급하였으나, 이러한 장치는 다른 여러 가능한 응용예를 가지고 있음이 명백히 이해되어야 한다. 예를 들어, 상기 장치는 집적광학시스템, 자기영역메모리용 유도 및 검출패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 각각 "마스크", "기판" 또는 "타겟부" 등과 같은 좀 더 일반적인 용어로 대체되고 있음을 고려하여야 할 것이다.
본 명세서에서, "방사선" 및 "빔" 과 같은 용어는(예를 들어, 365nm, 248nm, 193nm, 157nm 또는 126nm 파장의)자외선방사선 및 (예를 들어, 5~20nm 범위의 파장을 갖는 극자외선)EUV와 함께 모든 형식의 전자기 방사선을 포괄하는 것으로 사용된다.
"패터닝수단(patterning means)"이라는 용어는 기판의 타겟부에 형성되어야 할 패턴에 대응하는 패터닝된 단면을 입사하는 방사빔에 부여하도록 사용될 수 있는 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서는 "광 밸브(light valve)"라는 용어로도 사용된다. 또한, 표준마스크(투과 또는 반사마스크; 바이너리, 위상시프트, 하이브리드 마스크 등)이외에도, 이러한 패터닝수단의 예로는 다음과 같은 것들이 포함된다.
- 프로그래밍 가능한 거울배열. 이러한 장치의 예로는, 점탄성 제어층 (viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어)반사면의 어드레스된 영역(addressed area)에서는 입사광이 회절광으로 반사되는 반면, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 이때 요구되는 매트릭스 어드레싱은 적당한 전자수단을 사용하여 수행될 수 있다. 이러한 거울배열에 관한 보다 상세한 정보는, 예를 들어 본 명세서에서 참고자료로 채용되고 있는 미국특허 US 5,296,891호 및 US 5,523,193호로부터 얻을 수 있다.
- 프로그래밍 가능한 LCD 배열. 이러한 구조의 일례는 본 명세서에서 참고자료로 채용되고 있는 미국특허 US 5,229,872호에 개시되어 있다.
본 발명의 목적 및 이점은 이하의 상세한 설명 및 첨부되는 개략적인 도면을 참조하여 더 잘 이해될 수 있다.
본 발명을 상세히 설명하기에 앞서, 본 발명의 새로운 방법의 이해를 돕기위하여 관련 기술의 개략적 설명이 제공된다. 특정 패턴의 피쳐를 묘화하는 것은 파면이 통과함에 따라, 물체 평면(object plane)에서의 이미지에 의하여 회절되는 광을 캡처하는 묘화시스템의 렌즈의 결과물이다. 상기 물체에 의하여 생성되는 결과적 회절패턴은 무수히 많은 일련의 라인/공간 패턴에 대한 경우처럼, 비교적 단순해질 수 있지만, 완전한 랜덤패턴의 경우에는 상당히 복잡해질 수 있다. 이 회절된 광의 각 부분은 이미지에 대한 정보를 포함하고, 이미지평면에서 이미지를 형성하는 역할을 한다. 묘화렌즈가 회절된 광의 영역을 캡처할 수 없을 때, 정보(상기 광에 포함된 패턴의 구성요소)를 잃어버리게 되고 묘화가 열화(degrade)된다. 묘화렌즈는 광학리소그래피에서 저대역 통과 공간주파수필터(low pass spatial frequency filter)로 작용하는 효과가 있다.
패턴의 특정 공간주파수 성분의 최적 묘화가 상기 성분의 공간주파수와 매칭하는 특정 가간섭성(coherency)을 가지고 행해짐에 따라, 묘화될 소정 패턴에 대하여 최적화되는 방식으로 조명조건을 제어하는 것이 바람직하다. 그러나, 소정의 패턴의 각 성분을 묘화시키는데 필요한 가간섭성 조건이 상호 배타적이어서, 단일 노광으로 얻어지는 것이 불가능한 경우가 종종 있다. 이상적인 가간섭성 조건을 얻기 위하여, 특정 일루미네이터가 조명강도를 제어하도록 제안되어 왔다. 그러나, 이러한 개념의 맞춤형 조명은 가간섭성의 강도만을 제어하고, 렌즈에 의하여 캡처되는 주어진 가간섭성 조건의 회절차수를 제어하는 수단을 제공하지 않는다.
어떠한 패턴이 광의 가간섭성 빔으로 조명되면, 회절패턴을 생성하고, 회절되는 광의 각도는 패턴의 공간주파수 성분에 의하여 결정된다. 이것의 간단한 예는 라인/공간 패턴의 피치(PX)에 의하여 형성되는 단일 공간주파수를 갖는 무수히 많은 라인/공간 패턴이다. 가간섭성 광은 아래의 표현식으로 정의되는 각도(또는 회절차수, n)로 라인/공간 패턴에 수직하게 회절된다.
θ=sin-1{(n*λ)/Px} [1]
이상적인 묘화렌즈는 회절된 광의 전부를 캡처하고, 원래의 라인/공간 패턴의 완벽한 이미지를 형성하도록 이를 재조합할 것이다. 그러나, 대물렌즈는 회절된 빔을 캡처할 수 있는 한정된 각도를 갖고, 이 각도 범위이외의 회절된 광은 잃어버 린다. 이것은 이미지평면에서 열화된 이미지를 복원하게 하거나, 렌즈에 의하여 갭처되는 회절광이 아예 없을 때에는, 전혀 묘화가 되지 않는다. 렌즈가 광을 캡처할 수 있는 각도는 렌즈의 직경을 결정하는 개구수, 즉 NA에 의하여 결정된다.
도 1a 및 도 1b에 예시된 바와 같이, 라인/공간 패턴(11)이 묘화시스템의 광학 축선을 따라 광의 가간섭성 빔(13)으로 조명되면, 렌즈(15)에 의하여 캡처될 +/-1의 회절차수를 고려해야 할 최소피치(Pmin)는 아래와 같이 표현될 수 있다.
Pmin = λ/ NA [2]
큰 피치를 갖는 패턴(11)을 예시하는 도 1a 및 작은 피치를 갖는 패턴을 예시하는 도 1b에서 알 수 있는 바와 같이, 피치가 감소됨에 따라, 렌즈(15)가 +/-1의 회절차수중의 하나를 캡처하는 것이 더이상 가능하지 않게 된다.
그러나, 도 1c 및 도 1d를 참조하면, 가간섭성 빔(13)이 묘화시스템의 에지부 밖으로 광학적 축선을 이탈(오프액시스 조명 또는 OAI)하면, +1회절차수가 도 1c 및 도 1d에 도시된 바와 같은 광학적 축선상에 있을 수 있다. 이 경우에, 시그마(σ)는 1.0의 값에 가까워지는데, 여기서 σ는 조명렌즈의 NA와 대물(묘화)렌즈의 NA간의 비율을 말한다.
σ= NA조명렌즈 / NA대물렌즈 [3]
그러나, 이 조건하에서, -1회절차수는 현재 묘화시스템의 외부에 있을 수 있어, 렌즈(15)에 의하여 캡처되지 않을 것이다. 이 2개의 빔의 묘화는 +1의 회절차수의 위치가 도 1d에 도시된 바와 같은 렌즈의 한계치로 되돌아갈 때 까지, 피치가 더욱 감소되도록 한다. 이 경우에, σ는 σouter으로 정의되고, 아래의 표현식으로 다시 정의된다.
Pmin = λ/ {NA*(1+σouter)} [4]
이 한계치를 벗어나면, 0과 1(+1 또는 -1중의 하나) 회절차수 양자 모두를 캡처할 수 없으므로, 묘화가 일어날 수 없다.
다시 본 발명을 살펴보면, 아래에 상세히 설명되는 바와 같이, 본 명세서에 개시된 새로운 방법은, 소정의 묘화를 확실히 제공하는 회절패턴의 영역만을 선택적으로 묘화시키기 위하여, 회절패턴의 일 영역만이 대물렌즈에 의하여 캡처되는 것에 관한 상술된 현상을 활용한다.
상세하게는, 포토마스크 패턴이 패턴의 공간주파수 성분을 토대로 한 독특한 회절패턴을 생성함에 따라, 상기 패턴의 상기 공간주파수 성분에 대응하는 회절패턴의 구성요소가 렌즈시스템에 의하여 수집되고, 이미지평면에 독립적으로 또는 선택적으로 묘화된다. 또한, 본 발명의 방법에 따르면, 공통의 회절 구성요소를 포함하지만 서로 상이한 패턴들이 양자 모두의 패턴에 공통적인 회절패턴의 부분만을 선택하는 방식으로 특정 가간섭성 조건을 사용하여 묘화된다. 따라서, 이미지평면에 본질적으로 동일한 이미지를 생성할 물체 평면에 상이한 패턴(레티클 패턴)이 위치되도록 하는 것이 가능하다.
따라서, 본 발명의 방법은 매우 높은 투과 감쇠된 PSM(very high transmission attenuated PSM)과 결합하여 상이한 노광 및 가간섭성 조건을 구비한 다중 노광을 활용하여, 소정의 웨이퍼이미지의 최적 묘화를 제공(또는 패턴을 가장 잘 한정)하는 회절패턴의 구성요소가 선택되고, 각 노광에 포함된 패턴 성분을 최적화시키는 노광에너지로 독립적으로 노광될 수 있도록 한다. 각각의 노광시 가간섭성 조건을 적절하게 설정하면, 회절패턴의 불필요한 영역이 훨씬 최소화되거나 또는 제거될 수 있다. 또한, 다중 노광을 결합시켜, 소정의 패턴을 인쇄하기 위하여 최적화되는 복합 조명 및 포토레지스터 패턴형성의 비선형 작용을 이용하여, 완벽한 이미지가 형성된다. 그 결과, 본 발명의 방법은 피치비율(예를 들어 라인-대-공간 비율)이 1:1에 가깝게 묘화될 수 있도록 하는데, 여기서 피치는 노광파장(λ)이하이다. 중요하게, 본 발명의 방법은 상이한 가간섭성 노광설정을 활용하여 2번 이상 노광되는 단일 포토마스크만 활용한다. 따라서, 본 발명의 방법은 쌍극자 및 보충 alt-PSM과 같은 다수의 포토마스크의 사용을 요구하는 기술과 관련된 문제를 제거한다.
결과적으로, 소정의 웨이퍼이미지와는 다르지만, 적절한 가간섭성 조건으로 조명될 때, 소정의 이미지를 형성하는 (추가적인 불필요한 패턴정보 뿐만 아니라)소정의 패턴의 성분을 포함하는 회절패턴을 갖는 패턴을 생성할 수 있다. 레티클패턴을 적절하게 설계하면, 쌍극자와 같은, 매우 강력한 오프액시스 조명기술이 단일 레티클만을 가지고 사용될 수 있다. 소정의 이미지를 얻는 것은 묘화렌즈에 의하여 캡처되는 복잡한 회절차수를 제어하는 방식으로 일루미네이터를 적절하게 위치설정하여(가간섭성을 조절하여) 수행될 수 있다. 이제, 본 발명의 방법이 2개의 예시적인 패턴의 묘화와 함께 상세하게 설명된다.
본 발명을 이용하여 묘화될 제1예시적인 패턴은 도 2a에 예시된 바와 같은 "벽돌벽(brickwall)" 패턴이다. 도 2a를 참조하면, 패턴은 이하에서 "벽돌"로 지칭되는 피처(17) 및 그 벽돌들 사이의 공간(18, 19)을 포함한다. 벽돌(17)의 끝단부 사이의 공간(18)은 이들 공간(18)에 대응하는 공간주파수의 묘화를 최적화시키도록 설정된 가간섭성 조건에 의하여 최적으로 묘화될 수 있는 주기패턴의 한 종류를 나타낸다. 벽돌의 최상부와 바닥부 사이의 공간(19)은 y방향으로 벽돌패턴의 높은 공간주파수 성분의 묘화를 최적화시키도록 설정된 상이한 가간섭성 조건으로 최적 묘화될 수 있다. 이들 2개의 조명조건을 별개의 노광으로 분리시키면, 묘화에 기여하지 않는 광이 최소화된다. 이것은 또한, 상이한 노광에너지가 그레이스케일의 주문 일루미네이터(gray-scale custom illuminator)와 유사한 효과를 주는 상이한 조명조건으로 사용되도록 한다.
도 2a에 예시된 "벽돌벽"패턴은 반도체제조공정에 주로 사용되는 일반적인 패턴으로, 주로 메모리디바이스용이다. 도 2a에 도시된 바와 같이, 벽돌벽 패턴은 열을 따르는 직사각형들간의 거리(즉, x-피치) 및 직사각형들의 열간의 거리(즉, y-피치)를 갖는 직사각형의 크기를 갖는 것을 특징으로 하고 지그재그로 배치된 직사각형들(17)의 열로 이루어진다. 도 2a에 도시된 전형적인 패턴에서, 직사각형의 크기는 80nm × 630nm이고, x-피치는 830nm이고, y-피치는 180nm이다. 248nm KrF노광파장을 가지고 이 패턴을 묘화하는 것은 상기 노광파장보다 훨씬 짧은 피치를 갖는 1:1에 가까운 패턴 인쇄의 일례를 예시한다. 도 2의 패턴에서, Y방위로 취해질 때, 피처간의 수직 거리가 피처의 수직 높이와 같은, 라인-대-공간 비율이 1:1이 되는 것을 유의하여야 한다.
상세하게는, 도 2a의 벽돌벽 패턴이 NA=.80, λ=248nm을 갖는 묘화렌즈의 광학 축선을 따라 전자기에너지의 가간섭성 빔으로 조명되면, 도 3에 도시된 바와 같은 회절패턴을 생성한다. 도 3의 회절패턴은 스텝퍼의 .80NA렌즈가 벽돌벽 패턴의 3개의 공간주파수 성분을 캡처하는 것을 나타낸다. x축선을 따르는 2개의 회절차수는 도 2b에 벡터(1)로 도시된, 415nm(1열씩 거른 지그재그배열로 인하여 2로 나누어진 830nm)의 x축 공간주파수의 +/-1차수와 대응한다. 렌즈 상부의 2개의 회절차수 및 렌즈 하부의 2개의 회절차수는 벡터<415, 180>, <415, -180>에 수직인 도 2b의 해당 벡터(2a, 2b)를 따라 발생하는 330nm의 2개의 공간주파수의 +/-1회절차수와 대응한다. 방정식[3]으로부터 계산된 이 조건(0에 접근하는 σouter)에 대한 Pmin은 310nm임을 유의하여야 한다. 그러나, 본 패턴은 또한 도 2b의 벡터(3)으로 표시되는 y방향의 180nm의 공간주파수 성분을 갖는다. 패턴을 묘화하기에 충분한 회절차수가 도 3에 예시된 회절패턴으로 캡처된 것으로 볼 수 있으나, 캡처된 회절차수는 본 패턴의 180nm y피치 성분(벡터(3))에 대한 묘화정보를 가지고 있지 않다. 또한, 다양한 회절차수의 세기가 다르다는 것도 유의하여야 한다.
도 2a의 벽돌벽이 생성하는 회절패턴의 광범위한 시야를 얻기 위하여, 시뮬레이션에 사용된 가간섭성 빔의 파장이 126nm 또는 대략 λ/2로 변경된다. 노광원의 파장에 있어서의 이러한 감소는 모든 회절각을 반으로 감소시키고, 그 결과의 회절패턴은 도 4에 예시된다. 중요하게, 이 회절패턴을 연구하면, 특정 회절차수를 캡처하는 가간섭성 빔에 대한 σ값을 확인할 수 있다. 도 4의 3개의 원(21, 22, 23)은 .80NA렌즈 및 248nm파장의 회절캡처능력을 나타낸다. 가간섭성 빔이 0에 접근하는 σouter에서 렌즈의 중앙(즉, 원(22))에 위치되면, 중앙의 6개의 강한 회절차수가 도 3에 도시된 바와 같이 수집된다. 그러나, 가간섭성 빔이 수직방향 렌즈의 바닥부 에지(즉, 원(23)) 근처에 위치되어, σouter = 0.90이 되면, 180nm피치 공간주파수 패턴의 제1회절차수를 캡처할 수 있다. 그러나, 이 조건에서, 렌즈는 x축을 따르는 어떠한 회절차수도 더이상 캡처할 수 없다. 유사하게, 빔이 렌즈의 최상부 에지(즉, 원(21)) 근처에 위치되면, 현재 +1인 회절차수 대신에 -1이 캡처되는 것을 제외하고는 동일한 현상이 발생한다.
따라서, 상술된 바에서 알 수 있듯이, 일루미네이터내의 어디에서 특정 가간섭성 빔이 (광학축성에 대하여 σouter 및 각도로부터) 나오는 지에 따라, 상기 점에 의하여 발생된 어떤 회절 차수가 렌즈에 의하여 수집될 것인지를 결정한다. 이하에서 상세히 설명되는 바와 같이, 본 발명의 방법은 소정의 이미지를 정확하게 인쇄하는데 필요한 회절차수를 캡처하기 위한 최적 노광 및 조명설정을 결정하기 위하여 상기 회절패턴을 활용한다.
도 5는 σouter가 1.0이고 종래의 광원의 노광파장이 248㎚인 퓨필필 세기(pupil fill intensity)를 예시한다. 도 4에 예시된 시뮬레이션된 회절패턴 및 도 5의 퓨필필 세기도는 ASML MaskTools가 개발한 "LithoCruiser"라 하는 소프트웨어프로그램을 이용함으로써 작성될 수 있다. 도 5의 퓨필필 세기도는 묘화공정에 크게 영향을 주는 일루미네이터의 영역과 그렇지 않은 영역을 식별하게 한다. 더욱 상세하게는, 더 센 세기의 영역은 비교적 큰 양의 회절된 에너지가 묘화시스템에 의하여 캡처되는 가간섭성조건에 대응하므로, 따라서 캡처된 회절에너지내에 포함되는 패턴의 묘화평면에 공간주파수 성분을 전사한다.
예를 들어, x축선을 따른 퓨필필드의 에지에서, 패턴내에 높은 x축선 공간주파수 성분이 없기 때문에 퓨필필세기는 비교적 낮다(가장 작은 피치, 또는 가장 높은 공간주파수는 415㎚임). 따라서, 상기 영역내의 가간섭성 점으로부터 오는 광은 벽돌벽패턴의 전체 이미지형성에 크게 영향을 주지 않는다. 조명의 중심 근처에 있는 가간섭성 점은, 패턴의 415㎚ x축선 공간주파수 성분의 +1 및 -1 회절차수가 렌즈에 의하여 캡처되기 때문에, 이미지형성에 크게 영향을 준다. x방향으로 에지의 바깥쪽을 향하여 이동함으로써, 1차 회절은 사라질 것이며, 얻어질 부가적인 1차 회절차수는 없다. 역으로, y방향으로 에지의 바깥쪽을 향하여 이동하면, 점이 에지 근처에 있기 때문에, 매우 중요한 1차 회절을 캡처하게 된다. 따라서, 퓨필필 세기는 퓨필필드의 상부 및 하부 에지를 따라 비교적 세다. 따라서, 회절패턴 및 퓨필필 세기도를 검토함으로써, 소정의 프린트될 이미지의 퓨필필 패턴을 매칭시키도록 조명패턴을 맞출 수/최적화할 수 있다.
현재의 예에서는, 벽돌벽패턴에 대응하는 퓨필필 세기도 및 회절패턴의 분석에 기초하여, 도 6a에 도시된 바와 같이 248㎚ 노광파장의 경우, 0.95 외측 시그마, 0.75 내측 시그마 및 20도의 각의 설정에서의 y-쌍극자 조명은 서브파장, 즉 180㎚의 y피치 수평구조를 프린팅하는 데 효과적이다. 하지만, 도 6a의 조명설정을 사용하면, 렌즈가 x축방향으로 회절되는 임의의 광을 캡처할 수 없기 때문에, 패턴의 x축선 공간주파수 성분을 모두 잃게 된다. 도 6b는 도 6a에 예시된 조명조건 및 32mJ의 노광도즈 및 0.80NA를 사용한 도 2a의 벽돌벽패턴을 프린팅함으로써 생기는 시뮬레이션된 에어리얼 이미지를 예시한다. 도 6b에 도시된 바와 같이, 높은 y축 공간주파수를 가지는 구조가 명확히(즉, 수평라인 및 공간으로) 정의된다. 하지만, x축 공간주파수 성분을 갖는 직사각형 피처의 끝단간의 영역은 묘화되지 않는다.
패턴의 하부 공간주파수 성분을 묘화시키기 위하여, 최적의 가간섭성 조건은 매우 작은 시그마(이 예에서는, 시그마=0.20)를 갖는 종래의 조명일 것이라는 것이 회절패턴 및 퓨필필 세기도로부터 판별되었다. 도 7a에는, 이용된 특정 조명설정이 예시된다. 도 7b는 10mJ의 노광도즈 및 0.80NA를 갖는, 도 7a에 예시된 조명조건을 사용한 도 2a의 벽돌벽패턴을 프린팅함으로써 생기는 시뮬레이션된 에어리얼 이미지를 예시한다. 도 7b에 도시된 바와 같이, 선택된 조명설정은 직사각형 피처의 끝단간의 영역을 묘화시킨다. 조금 덜 조밀한 구조를 프린트하는 것이 광학적 효율이 더 크기 때문에, 노광에너지는 32mJ로 유지되어서는 아니되며, 10mJ로 감소되어야 한다.
상기의 주어진 예에서는 회절차수의 세기가 상이하기 때문에, 소정의 패턴을 복원하고 단일 노광을 이용하여 그것들을 노광할 필요가 있는 모든 회절차수를 캡처하는 단일 가간섭성 조건을 선택할 수가 없다는 것이 명확하다. 하지만, 본 발명의 방법에 따른 다중노광으로 가간섭성 조건을 분리시킴으로써, 상이한 노광에너지가 사용될 수 있으므로, 모든 회절된 광이 모아지는 이상적인 묘화조건에 매우 근 접할 수 있다. 도 8은 도 6a 및 도 7a의 2개의 노광조건이 다중노광법과 결합된 경우의 시뮬레이션된 결과를 예시한다. 더욱 상세하게는, 웨이퍼는 도 6a의 조명조건을 이용하여 먼저 노광된 후, 동일한 레티클을 사용하여 도 7a의 조명조건으로 노광된다. 도 8의 시뮬레이션 결과로부터 알 수 있듯이, 본 발명의 다중노광법으로 인하여 웨이퍼상에 묘화되는 소정의 벽돌벽패턴이 생긴다.
따라서, 본 발명의 전술한 방법은, 노광파장보다 훨씬 작은 피치를 갖고 거의 1:1의 피치비를 갖는 피처의 프린팅을 고려하여, 0.30으로 kpitch를 연장한다. 특히, 본 발명은 매우 높은 투과 감쇠 PSM(예를 들어, 무크롬 PSM)용으로 적합한 데, 이는 이러한 PSM과 연관된 강한 근접효과 때문이다. 더욱이, 본 발명의 방법은 동일한 레티클의 다중노광을 고려하여, 다중레티클이 이용되는 것이 필요한 다중노광기술과 연관된 문제를 해결한다.
본 발명의 주요 이점 중 하나는 콘택홀을 프린팅하는 경우처럼, 어두운 영역묘화에 적용될 수 있다는 것이다. 본 발명 이전에는, 교번어퍼처 접근법(alternating aperture approach)가 콘택홀을 프린팅하는 데 이용되어 왔다. 하지만, 위상시프팅이 이러한 교번어퍼처 접근법에서의 설계에 적용되는 성질은 콘택피치의 매우 좁은 범위에만 효과가 있다. Alt-PSM은 작은 어두운 라인에 적용되는 해상도향상기술이며, 콘택사이의 "어두운 라인"이 충분히 작은 경우, 해상도가 개선된다. 하지만, 콘택들간의 거리가 비교적 클 경우, 콘택들간의 영역내에서 생기는 간섭의 양은 무시할만하고 해상도는 향상되지 않는다. 또한, 상기 접근법은 충돌하는 위상정렬의 문제를 겪게 된다. 하기에 설명되는 바와 같이, 본 발명은 콘택홀을 프린트하기 위하여 어두운 필드묘화를 이용할 때, 해상도 향상을 고려한다.
도 9a는 프린트될 어두운 필드 콘택홀패턴의 예를 도시한다. 상기 예는 매우 높은 투과 감쇠된 위상시프트 리소그래피가 갖는 2가지 문제를 예시한다. 첫째, 필드영역의 높은 투과성때문에 어둡게 되어야 할 큰 영역이 없다는 것이다. 둘째, 거의 1:1인 피치비로 인하여 어두운 회절격자효과가 생겨, 소정의 밝은 콘택홀이 프린팅되지 않는다는 것이다.
도 10은 248㎚ 가간섭성 빔 및 0.80NA렌즈에 의하여 캡처된 회절차수로 조명될 때, 도 9a의 패턴에 의하여 생기는 회절패턴을 예시한다. "벽돌벽"예에서 상세히 상술한 바와 같이, 동일한 방법론을 따라, 도 10에서 예시된 회절패턴을 생성하도록 시뮬레이션에 이용된 파장은 회절패턴의 더 넓은 시야를 얻기 위하여 126㎚로 감소된다. 도 11은 126㎚ 가간섭성 빔 및 0.80NA로 생긴 회절패턴을 예시한다. 도 11에서 알 수 있듯이, 콘택홀패턴에 대한 회절패턴은 이전의 벽돌벽의 예보다 더더욱 복잡하므로, 그 결과, 패턴의 특정 공간주파수 성분을 식별하는 것이 더욱 어려워진다. 하지만, 도 9b에 도시된 바와 같이, 검사는 중심에 가장 근접한 6개의 회절차수가 공간주파수 1a(600㎚), 1b(536㎚)및 1c(536㎚)에 대응하는 것을 나타낸다. x축선 및 y축선을 따르고 0.80NA의 바로 외부에 있는 4개의 회절차수는 도 9b에 도시된 바와 같이 공간주파수 2a(300㎚) 및 2b(300㎚)에 대응한다. x축선으로부터 대략 +/- 30°에서 0.80NA렌즈의 바로 내부에 있는 4개의 회절차수는 도 9b에 도시된 바와 같이 공간주파수 3a(333㎚) 및 3b(333㎚)에 대응한다. 도 12는 도 10의 회절패턴에 대응하는 퓨필필 패턴을 예시하고, 이는 시그마가 1.0이고 노광파장이 248㎚인 종래의 조명에 설정된 일루미네이터에 인한 것이다.
다음, 예를 들어, 경험적 방법(empirical method)으로 회절패턴 및 퓨필필 패턴이 분석되고, 가간섭성 조건은 패턴의 특정 요소의 묘화를 최적화도록 식별된다. 예를 들어, 도 9b의 벡터 1a, 1b 및 1c에 관계된 회절차수만이 렌즈에 의하여 캡처되도록 렌즈의 NA가 감소되는 경우, 이미지의 성분은 그것들내에 포함된 것이 무엇인지를 관찰할 수 있다. 도 13a는 NA가 248㎚ 노광에서 0.60으로 설정된 때, 가간섭성 빔의 중심의 6개의 회절차수만이 렌즈에 의하여 캡처된다. 도 13b는 도 13a의 가간섭성 조건에 대한 결과로 형성된 에어리얼 이미지 시뮬레이션 및 100% 투과 무크롬 위상시프트된 패턴을 예시한다. 상기 시뮬레이션으로부터, 어두운 영역으로서 거의 1:1 피처 프린팅의 문제와 어두운 영역 대신에 투명한 영역으로서 비교적 큰 필드영역이미지 모두가 생기는 것을 알 수 있다. 따라서, 이러한 분석에 의하여, 전체의 회절패턴의 상기 부분이 묘화에 바람직하지 않은 요소를 준다고, 즉 필요로 하는 콘택홀패턴내가 아니고, 실제의 콘택홀에 의하여 둘러싸인, 큰 필드영역에 광세기를 부여한다고 결론내릴 수 있다. 이것은 바람직하지 않은 상태이므로, 큰 필드영역의 적합한 묘화와 무크롬 위상시프팅 레티클상에 거의 1:1 피치비를 가능하게 하도록 레티클패턴을 변경함으로써 회절패턴을 수정할 필요성을 예시한다.
회절패턴의 상기 부분이 웨이퍼평면에서 이미지형성에 영향을 주는 것을 방지하기 위한 적어도 2개의 가능한 접근법이 있다. 하나는 상기 영역내의 레티클을 통하여 투과되고 있는 노광에너지를 차단하도록 상기 영역내의 크롬패턴을 사용하는 것이다. 다른 하나는, 상기 예에 사용된 것으로서, 회절패턴의 상기부분을 제외하도록 처리하는(engineer out) 방식으로 레티클패턴을 수정하는 것이다. 회절패턴의 이러한 수정은 예를 들어, 경험적 방법을 이용하여 수행될 수 있다. 도 14는 그 복잡한 회절패턴의 부분이 있는 패턴 및 도 9의 콘택홀이미지를 생성하는 데 필요한 회절요소의 예를 도시한다. 도 15는 도 14의 패턴에 의하여 발생된 248㎚ 가간섭성 빔에 대한 회절패턴을 예시한다. 도 15에서 명확히 알 수 있듯이, 패턴의 바람직하지 않는 회절요소는 제거됨과 동시에, 특정 가간섭성 조건으로부터 콘택홀패턴을 구성하는 데 필요한 외측의 회절차수를 유지한다.
소정 콘택패턴을 묘화시키는 데 필요한 노광에 대하여 가간섭성 조건을 적절히 선택하기 위해서, 상기와 동일한 방식으로, 도 15에 예시된 회절패턴을 생성하도록 시뮬레이션에 이용되는 파장은 회절패턴의 더 넓은 시야를 얻도록 126㎚로 감소된다. 도 16은 126㎚ 가간섭성 빔 및 0.80NA렌즈로 발생된 회절패턴을 예시한다. 도 16을 검토하면, 최적의 가간섭성 조건이 패턴의 성분의 각각에 대하여 무엇인지를 결정할 수 있다. 예를 들어, 도 18a에 도시된 바와 같이, 조명원은 0.80의 시그마 및 (QUASAR 조명과 같은) x축선으로부터 +/- 45°에서 중심이 맞춰지도록 가간섭성 조건을 설정함으로써, 높은 공간주파수 회절차수는 도 17에 도시된 바와 같이 묘화시스템에 의하여 캡처될 수 있다. 상기 특정 가간섭성 설정은 이러한 설정을 이용하여 열악하게 묘화되는 하부의 공간주파수 직사각형 콘택을 희생하고 작고 근접하게 이격된 콘택의 행(column)의 묘화를 향상시키는 데 사용된다. 도 18b는 90/60/30, 0.80NA, 및 17mJ 노광도즈(즉, 도 18a의 조명설정)에서 QUASAR 조명을 사용한 시뮬레이션 결과를 예시한다.
직사각형 콘택홀을 묘화시키기 위하여, 도 20a에 도시된 바와 같이 조명영역이 x 및 y축선상에 중심이 맞춰지도록, 45°만큼 회전되는 QUASAR 조명을 사용하여 이들 피처의 묘화에 크게 영향을 주는 회절차수가 최적으로 캡처된다. 더욱 상세하게, 도 19의 회절패턴에 도시된 바와 같이, 낮은 공간주파수 직사각형 콘택홀의 묘화에 영향을 주지 않는 회절차수가 묘화시스템에 의하여 캡처되지 않도록, 가간섭성 조건은 0.45의 σ에서 중심이 맞춰진다. 도 20b는 60/30/30, 0.80NA, 및 14mJ 노광도즈(즉, 도 20a의 조명설정)의 회전되는 QUASAR 조명설정을 사용한 시뮬레이션결과를 예시한다.
마지막으로, 도 21은 2개의 노광(즉, 도 18a 및 20a의 조명설정)이 결합될 때의 시뮬레이션 결과를 예시한다. 상기에서 알 수 있듯이, 소정의 콘택홀패턴은 충실히 모사된다.
전술한 바와 같이, 본 발명은 종래의 기술을 넘어 중요한 이점을 제공한다. 더욱 중요하게는, 본 발명의 참신한 방법은 피치 해상능력을 향상시키기 위하여, 매우 높은 투과 감쇠된 PSM, 참신한 조명, 혁신적인 노광기술, 및 회절패턴의 공학(engineering)을 사용한 광학적 확장을 고려한다. 본 발명의 방법은 노광파장보다 훨씬 작은 피치를 갖고 거의 1:1 의 피치비를 갖는 피처의 프린팅을 가능하게 하고, 0.30으로 kpitch를 연장한다. 또한, 본 발명의 방법은 묘화공정에서의 상이한 레티클의 사용을 요구하는 다중노광기술과 연계된 문제도 해결된다. 하기에 더욱 상세히 설명되는 바와 같이, 본 발명의 방법은 단일 레티클을 이용함에 따라서, 이러한 문제, 예를 들어, 레티클 위치오차가 줄어든다.
도 22는 본 발명의 방법에서 사용하기에 적절한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL). 특별히 이 경우에 방사선시스템이 방사원(LA)도 포함한다;
- 마스크(MA)(예를 들어, 레티클)를 잡아주는 마스크 홀더가 마련된, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
ㆍ기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 잡아주는 기판 홀더가 마련된, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT); 및
ㆍ기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절광학, 반사광학(catoptric) 및 반사굴절광학(catadioptric) 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (투과마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어 (반사마스크를 구비한) 반사형 일 수도 있다. 대안적으로, 상기 장치는 위에서 언급한 바와 같은 형태의 프로그램 가능한 거울 배열과 같은 그 밖의 다른 종류의 패터닝수단을 채용할 수도 있다.
방사원(LA)(예를 들어, 수은램프 또는 엑시머레이저)은 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 성분을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 22과 관련하여, 상기 방사원(LA)은 리소그패피 투영장치의 하우징내에 놓이지만(예를 들어, 방사원(LA)이 흔히 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사원(LA)이 엑시머레이저(예를 들어, KrF, ArF 또는 F2 레이징)인 때에 흔한 경우이다. 본 발명과 청구범위는 이들 시나리오를 모두 포괄하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 통과한다. 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)의 초점을 맞춘다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 22에 명확히 도시되지는 않았지만, 긴 행정 모듈(long stroke module)(개략 위치설정) 및 짧은 행정 모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔장치와는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은행정액츄에어터에만 연결될 수 있고 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
1. 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
2. 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일방향 또는 그 반대방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 해상도를 떨 어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
이상 본 발명의 특정 실시예에 대하여 서술하였지만 기술적 사상 또는 중요한 특성을 벗어나지 않으면서 그 외의 형식으로 구현화될 수 있다. 그러므로, 본 발명은 예시한 것과 예시하지 않은 모든 관점, 첨부된 청구항에 의하여 나타내는 본 발명의 범위, 및 청구항의 등가의 범위 및 의미내에서 오는 변화등을 포괄하여 고려되어야 한다.
본 발명에 따르면, 종래의 문제점을 보완하고 포토리소그래피장치의 피치 해상 능력을 향상시키고 종래의 기술과 관련된 문제점을 해소하는 방법이 제공된다.

Claims (21)

  1. 광학 노광툴의 사용에 의해 반도체기판상에 높은 투과 감쇠된 위상시프트마스크를 이용하여 집적회로에 대응하는 리소그래피패턴을 광학적으로 전사하는 방법에 있어서,
    상기 리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 성분을 나타내는 단계;
    상기 리소그래피패턴을 정확히 모사하기 위해서 상기 공간주파수 성분 중 어느 것이 상기 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는지를 결정하는 단계;
    상기 리소그래피패턴을 정확히 모사하는데 필요한 상기 공간주파수 성분을 캡처하기 위하여 상기 광학 노광툴에 요구되는 조명조건의 세트를 결정하는 단계; 및
    상기 조명조건의 상기 세트로 상기 높은 투과 감쇠된 위상시프트마스크를 조명하는 단계를 포함하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  2. 제1항에 있어서,
    상기 높은 투과 감쇠된 위상시프트마스크는 100% 투과 감쇠된 위상시프트마 스크인 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  3. 제2항에 있어서,
    상기 조명조건의 세트는 복수의 별개의 조명조건을 포함하고, 상기 높은 투과 감쇠된 위상시프트마스크는 각각의 별개의 조명조건마다 조명되는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  4. 제3항에 있어서,
    상기 각각의 별개의 조명조건은 상이한 가간섭성 노광설정을 포함하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  5. 제2항에 있어서,
    상기 광학 노광툴은 오프액시스조명을 이용하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  6. 제2항에 있어서,
    상기 회절패턴을 분석하여, 상기 반도체기판으로 전사된 상기 리소그래피패턴의 정확성을 떨어뜨리는 공간주파수 성분을 식별하는 단계; 및
    상기 리소그래피패턴의 정확성을 떨어뜨리는 상기 공간주파수 성분이 상기 광학 노광툴에 의하여 캡처되는 것을 막는데 필요한 상기 조명조건을 결정하는 단계를 더욱 포함하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  7. 제1항에 있어서,
    상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 주는 공간 주파수 성분을 제거하기 위하여 상기 회절패턴을 수정하는 단계를 더욱 포함하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  8. 제1항에 있어서,
    상기 공간주파수 성분이 상기 기판에 도달하는 것을 방지하기 위하여, 상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 미치는 하나 이상의 공간주파수 성분과 연관된 노광에너지를 차단하는 단계를 더욱 포함하는 것을 특징으로 하는 리소그래피패턴을 광학적으로 전사하는 방법.
  9. 광학 노광툴의 사용에 의해 반도체기판상에 높은 투과 감쇠된 위상시프트마스크를 이용하여 집적회로에 대응하는 리소그래피패턴을 광학적으로 전사하는 방법을 실행하여 광학 노광툴을 제어하도록 컴퓨터에게 명령하는 수단을 포함하는 컴퓨터를 제어하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서, 상기 전사하는 방법은,
    상기 리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 성분과 나타내는 단계;
    상기 리소그래피패턴을 정확히 모사하기 위해서 상기 공간주파수 성분 중 어느 것이 상기 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는지를 결정하는 단계;
    상기 리소그래피패턴을 정확히 모사하는데 필요한 상기 공간주파수 성분을 캡처하기 위하여 상기 광학 노광툴에 요구되는 조명조건의 세트를 결정하는 단계; 및
    상기 조명조건의 상기 세트로 상기 높은 투과 감쇠된 위상시프트마스크를 조명하는 단계를 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  10. 제9항에 있어서,
    상기 높은 투과 감쇠된 위상시프트마스크는 100% 투과 감쇠된 위상시프트마스크인 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  11. 제10항에 있어서,
    상기 조명조건의 세트는 복수의 별개의 조명조건을 포함하고, 상기 높은 투과 감쇠된 위상시프트마스크는 각각의 별개의 조명조건마다 조명되는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  12. 제11항에 있어서,
    상기 각각의 별개의 조명조건은 상이한 가간섭성 노광설정을 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  13. 제10항에 있어서,
    상기 광학 노광툴은 오프액시스조명을 이용하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  14. 제10항에 있어서,
    상기 전사하는 방법은 상기 회절패턴을 분석하여 상기 반도체기판에 전사된 상기 리소그래피패턴의 정확성을 떨어뜨리는 공간주파수 성분을 식별하는 단계; 및
    상기 리소그래피패턴의 정확성을 떨어뜨리는 상기 공간주파수 성분이 상기 광학 노광툴로부터 캡처되는 것을 막는데 필요한 상기 조명조건을 결정하는 단계를 더욱 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  15. 제10항에 있어서,
    상기 전사하는 방법은 상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 주는 공간주파수 성분을 제거하도록 상기 회절패턴을 수정하는 단계를 더욱 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  16. 제10항에 있어서,
    상기 전사하는 방법은 상기 공간주파수 성분이 상기 기판에 도달하는 것을 방지하기 위하여, 상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 주는 하나 이상의 공간주파수 성분과 연관된 노광에너지를 차단하는 단계를 더욱 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  17. 디바이스제조방법에 있어서,
    리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 성분을 나타내는 단계;
    상기 리소그래피패턴을 정확히 모사하기 위해서 상기 공간주파수 성분 중 어느 것이 상기 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는 지를 결정하는 단계;
    상기 리소그래피패턴을 정확히 모사하는데 필요한 상기 공간주파수 성분을 캡처하기 위하여 상기 광학 노광툴에 요구되는 조명조건의 세트를 결정하는 단계; 및
    상기 조명조건의 상기 세트로 상기 높은 투과 감쇠된 위상시프트마스크를 조명하는 단계를 포함하는 것을 특징으로 하는 디바이스제조방법.
  18. 마스크를 생성하는 방법에 있어서,
    묘화될 리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 성분을 나타내는 단계;
    상기 리소그래피패턴을 정확히 모사하기 위하여 상기 공간주파수 성분 중 어느 것이 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는 지를 결정하는 단계; 및
    캡처될 상기 공간주파수 성분이 기판에 묘화되는 것을 허용하는 패턴을 갖는 레티클을 형성하는 단계를 포함하는 것을 특징으로 하는 마스크를 생성하는 방법.
  19. 제18항에 있어서,
    상기 레티클은 상기 공간주파수 성분이 상기 기판에 도달하는 것을 방지하기 위해서, 상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 주는 하나 이상의 공간주파수 성분과 연관된 노광에너지를 차단하는 것을 특징으로 하는 마스크를 생성하는 방법.
  20. 광학 노광툴을 사용하여 반도체기판상에 집적회로에 대응하는 리소그래피패턴을 광학적으로 전사하는 방법을 실행하여 마스크에 대응하는 파일을 생성하도록 컴퓨터에게 명령하는 수단을 포함하는 컴퓨터를 제어하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서, 상기 전사하는 방법은,
    묘화될 리소그래피패턴에 대응하는 회절패턴을 발생시키는 단계로서, 상기 회절패턴은 상기 리소그래피패턴에 대응하는 복수의 공간주파수 성분을 나타내는 단계;
    상기 리소그래피패턴을 정확히 모사하기 위해서 상기 공간주파수 성분 중 어느 것이 상기 광학 노광툴내의 렌즈에 의하여 캡처될 필요가 있는 지를 결정하는 단계; 및
    캡처될 상기 공간주파수 성분이 기판상에 묘화되는 것을 허용하는 패턴을 갖는 레티클을 형성하는 단계를 포함하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  21. 제20항에 있어서,
    상기 공간주파수 성분이 상기 기판에 도달하는 것을 방지하기 위하여, 상기 레티클은 상기 리소그래피패턴의 정확한 모사에 부정적인 영향을 주는 하나 이상의 공간주파수 성분과 연관된 노광에너지를 차단하는 것을 특징으로 하는 컴퓨터프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
KR1020020049240A 2001-08-21 2002-08-20 다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된psm을 활용하는 개선된 리소그래피패터닝을 위한 방법 KR100562193B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31348701P 2001-08-21 2001-08-21
US60/313,487 2001-08-21

Publications (2)

Publication Number Publication Date
KR20030017359A KR20030017359A (ko) 2003-03-03
KR100562193B1 true KR100562193B1 (ko) 2006-03-20

Family

ID=23215888

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020049240A KR100562193B1 (ko) 2001-08-21 2002-08-20 다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된psm을 활용하는 개선된 리소그래피패터닝을 위한 방법

Country Status (6)

Country Link
US (2) US6951701B2 (ko)
EP (1) EP1286218B1 (ko)
JP (1) JP4199975B2 (ko)
KR (1) KR100562193B1 (ko)
DE (1) DE60219562T2 (ko)
TW (1) TW530336B (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US6842223B2 (en) 2003-04-11 2005-01-11 Nikon Precision Inc. Enhanced illuminator for use in photolithographic systems
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7372540B2 (en) * 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4617272B2 (ja) * 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
US20060256311A1 (en) * 2005-05-16 2006-11-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7512928B2 (en) * 2005-08-12 2009-03-31 Texas Instruments Incorporated Sub-resolution assist feature to improve symmetry for contact hole lithography
US7268080B2 (en) * 2005-11-09 2007-09-11 Infineon Technologies Ag Method for printing contacts on a substrate
US7642016B2 (en) * 2006-02-20 2010-01-05 International Business Machines Corporation Phase calibration for attenuating phase-shift masks
US7716627B1 (en) * 2006-09-28 2010-05-11 Guada, Inc. Solution-dependent regularization method for quantizing continuous-tone lithography masks
US8576377B2 (en) 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080158529A1 (en) * 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8715909B2 (en) 2007-10-05 2014-05-06 Infineon Technologies Ag Lithography systems and methods of manufacturing using thereof
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
US8495528B2 (en) 2010-09-27 2013-07-23 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
NL2009168A (en) * 2011-08-19 2013-02-21 Asml Netherlands Bv Lithographic apparatus and method.
KR20130067332A (ko) 2011-11-16 2013-06-24 삼성디스플레이 주식회사 노광용 마스크 및 그 마스크를 사용한 기판 제조 방법
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
US9772566B2 (en) 2015-06-30 2017-09-26 Toshiba Memory Corporation Mask alignment mark, photomask, exposure apparatus, exposure method, and manufacturing method of device
JP6370755B2 (ja) * 2015-09-11 2018-08-08 東芝メモリ株式会社 マスク及びパターン形成方法
US9971863B2 (en) 2016-03-01 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rule checking for multiple patterning technology
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
WO2020221556A1 (en) * 2019-04-30 2020-11-05 Asml Netherlands B.V. Method and apparatus for photolithographic imaging

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04267537A (ja) * 1991-02-22 1992-09-24 Canon Inc 露光方法及び半導体素子の製造方法
JPH065487A (ja) * 1992-06-19 1994-01-14 Nippon Telegr & Teleph Corp <Ntt> シミュレーション方法及び投影光学設計方法
JPH1074695A (ja) * 1989-08-07 1998-03-17 At & T Corp リソグラフィプロセスを含むデバイス製作法
KR19990065098A (ko) * 1998-01-07 1999-08-05 윤종용 위상 반전 마스크를 사용하는 포토레지스트막 노광 방법 및 이에 이용되는 노광 장치

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04204737A (ja) 1990-11-30 1992-07-27 Hitachi Ltd マスク及び投影露光装置並びにパタン形成方法
US5300788A (en) * 1991-01-18 1994-04-05 Kopin Corporation Light emitting diode bars and arrays and method of making same
JPH0567558A (ja) * 1991-09-06 1993-03-19 Nikon Corp 露光方法
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5680588A (en) 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
JP3323815B2 (ja) 1998-07-21 2002-09-09 キヤノン株式会社 露光方法及び露光装置
EP0980542A4 (en) * 1998-03-17 2006-03-01 Asml Masktools Bv METHOD OF FORMING DETAILS OF LINES LESS THAN 0.25 LAMBDA USING HIGH TRANSMISSION MASKS WITH "ATTENUATED" PHASE
JP3647272B2 (ja) 1998-06-30 2005-05-11 キヤノン株式会社 露光方法及び露光装置
JP3647270B2 (ja) 1998-06-30 2005-05-11 キヤノン株式会社 露光方法及び露光装置
US6930754B1 (en) * 1998-06-30 2005-08-16 Canon Kabushiki Kaisha Multiple exposure method
JP3296296B2 (ja) 1998-06-30 2002-06-24 キヤノン株式会社 露光方法及び露光装置
JP3647271B2 (ja) 1998-06-30 2005-05-11 キヤノン株式会社 露光方法及び露光装置
US6480263B1 (en) * 1998-10-22 2002-11-12 Asml Netherlands B.V. Apparatus and method for phase shift photomasking
JP2000252199A (ja) 1999-03-02 2000-09-14 Sharp Corp 投影露光装置及び投影露光方法
DE19908883A1 (de) * 1999-03-02 2000-09-07 Rainer Heintzmann Verfahren zur Erhöhung der Auflösung optischer Abbildung
US6525806B1 (en) * 1999-07-01 2003-02-25 Asml Netherlands B.V. Apparatus and method of image enhancement through spatial filtering
US6396569B2 (en) * 1999-09-02 2002-05-28 Texas Instruments Incorporated Image displacement test reticle for measuring aberration characteristics of projection optics
US6388736B1 (en) * 1999-11-15 2002-05-14 Asm Lithography B.V. Imaging method using phase boundary masking with modified illumination
JP4590146B2 (ja) * 2000-02-14 2010-12-01 エーエスエムエル マスクツールズ ビー.ブイ. フォトマスクの幾何形状を改良する方法
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US20050136340A1 (en) * 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
WO2002025373A2 (en) * 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
JP2002132986A (ja) * 2000-10-18 2002-05-10 Canon Inc 情報提供方法及び情報提供システム
US6563566B2 (en) 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US6660436B1 (en) * 2001-09-17 2003-12-09 Taiwan Semiconductor Manufacturing Company OPC-like repair method for attenuated phase shift masks
US6563309B2 (en) * 2001-09-28 2003-05-13 The Boeing Company Use of eddy current to non-destructively measure crack depth
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1074695A (ja) * 1989-08-07 1998-03-17 At & T Corp リソグラフィプロセスを含むデバイス製作法
JPH04267537A (ja) * 1991-02-22 1992-09-24 Canon Inc 露光方法及び半導体素子の製造方法
JPH065487A (ja) * 1992-06-19 1994-01-14 Nippon Telegr & Teleph Corp <Ntt> シミュレーション方法及び投影光学設計方法
KR19990065098A (ko) * 1998-01-07 1999-08-05 윤종용 위상 반전 마스크를 사용하는 포토레지스트막 노광 방법 및 이에 이용되는 노광 장치

Also Published As

Publication number Publication date
EP1286218A2 (en) 2003-02-26
US7523438B2 (en) 2009-04-21
DE60219562D1 (de) 2007-05-31
EP1286218B1 (en) 2007-04-18
US20030073013A1 (en) 2003-04-17
DE60219562T2 (de) 2008-01-03
US20050186491A1 (en) 2005-08-25
JP2003178966A (ja) 2003-06-27
US6951701B2 (en) 2005-10-04
JP4199975B2 (ja) 2008-12-24
TW530336B (en) 2003-05-01
KR20030017359A (ko) 2003-03-03
EP1286218A3 (en) 2005-03-09

Similar Documents

Publication Publication Date Title
KR100562193B1 (ko) 다중 가간섭성 최적화된 노광 및 높은 투과 감쇠된psm을 활용하는 개선된 리소그래피패터닝을 위한 방법
KR100457839B1 (ko) 규정된 래더바를 서브-해상도 어시스트피처로 활용하는광근접성교정방법
KR100570196B1 (ko) 마스크 생성방법 및 장치, 패턴프린팅 방법, 및 컴퓨터프로그램물
KR100585478B1 (ko) 쌍극 조명기술과 함께 이용되는 마스크를 생성하는 방법및 장치
KR100566153B1 (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
JP3101594B2 (ja) 露光方法及び露光装置
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100566151B1 (ko) 무크롬 상 리소그래피를 이용하여 상 및 크롬영역으로반도체디바이스패턴을 분해하는 방법 및 장치
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
KR100542268B1 (ko) 서브해상도 어시스트피처로서 위상에지를 이용하는 광근접보정방법
KR100606491B1 (ko) 리소그래피를 위한 파라미터를 판정하는 방법,컴퓨터시스템 및 이를 위한 컴퓨터 프로그램, 디바이스제조방법 및 그에 따라 제조된 디바이스
KR100609109B1 (ko) 디바이스 제조방법, 상기 방법에 사용되는 마스크 세트,프로그램가능한 패터닝 디바이스를 제어하는 데이터 세트,마스크 패턴을 생성하는 방법 및 컴퓨터 프로그램
KR100563776B1 (ko) 공간 주파수 2배가 기술을 활용하여 마스크패턴을형성하는 방법 및 장치
JP2000021722A (ja) 露光方法及び露光装置
JP3296296B2 (ja) 露光方法及び露光装置
JP2000021718A (ja) 露光方法及び露光装置
JP3647271B2 (ja) 露光方法及び露光装置
EP1467256A1 (en) Device manufacturing method and mask set for use in the method
JP3278802B2 (ja) マスク及びそれを用いた露光方法
JP3262073B2 (ja) 露光方法及び露光装置
JP2000021755A (ja) 露光方法及び露光装置
JP2000021759A (ja) 露光方法及び露光装置
JP2000021757A (ja) 露光方法及び露光装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090311

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee