KR100552796B1 - 위상 시프트 마스크 - Google Patents

위상 시프트 마스크 Download PDF

Info

Publication number
KR100552796B1
KR100552796B1 KR1020047002956A KR20047002956A KR100552796B1 KR 100552796 B1 KR100552796 B1 KR 100552796B1 KR 1020047002956 A KR1020047002956 A KR 1020047002956A KR 20047002956 A KR20047002956 A KR 20047002956A KR 100552796 B1 KR100552796 B1 KR 100552796B1
Authority
KR
South Korea
Prior art keywords
phase shift
pattern
mask
shift mask
light
Prior art date
Application number
KR1020047002956A
Other languages
English (en)
Other versions
KR20040044502A (ko
Inventor
버트샤히드
컨켈제라드
Original Assignee
인피네온 테크놀로지스 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인피네온 테크놀로지스 아게 filed Critical 인피네온 테크놀로지스 아게
Publication of KR20040044502A publication Critical patent/KR20040044502A/ko
Application granted granted Critical
Publication of KR100552796B1 publication Critical patent/KR100552796B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

각각의 위상 시프트를 갖는 부분(101, 102)의 상대적 표면적과 위상 시프트 마스크(1) 위의 패턴(3)의 빛의 전달률은 실질적으로 표면적과 전기장력의 전달률의 곱이 모든 부분에 있어서 동일하다는 조건을 만족시킨다. 그러면, 제 0 차 회절 차수가 소멸하여 주파수 배증이 일어나며 통상의 위상 시프트 마스크와 비교하여 높은 전이 감쇠형 위상 시프트 마스크의 경우 대부분의 제 1 차 회절의 진폭이 고르게 향상된다. 이차원의 행렬 형태의 구조는 특히 감쇠형 또는 하프-톤 위상 시프트 마스크가 높은 밀도의 패턴(3)을 반도체 기판(2) 상에 투사하도록 배열될 수 있다. 패턴(5) 행렬의 듀티 사이클은 주파수 배증을 일으킴에도 불구하고 2 개의 직교하는 방향 중 하나와 다르게 선택될 수 있다.

Description

위상 시프트 마스크{PHASE-SHIFT MASK}
본 발명은 제 1 패턴과 실질적으로 동일한 복수의 제 2 패턴과 함께 이차원적인 행렬 내에 위치하며 적어도 하나의 제 1 패턴을 갖는 위상 시프트 마스크에 관한 것이다.
마스크 상에 구성된 패턴을 레지스트로 코팅된 반도체 웨이퍼 위에 투사하는 노광 툴 프로젝션 시스템의 최소 해상 구조의 두께를 0.25 λ/NA로 하는 것은 이론적으로 소위 리쏘-인핸스먼트 기술의 모든 세트를 이용하여 성취될 수 있다. 이 식에서, λ은 반사광의 파장이며 NA는 동공판(pupil plane) 또는 물체 렌즈 시스템의 개구(aperture)의 개수이다. 특히 계수 kl = 0.25는 시도되고는 있으나 아직 제대로 연구되지 않았으며, 아주 제한된 조건, 즉 마스크 상에 특정 패턴만을 투사할 수 있다.
일반적인 노광 장치는 간단한 주기적인 라인-스페이스(line-and-space) 패턴에서 kl = 0.4 로 동작한다. 최소 구조의 폭을 0.3 λ/NA로 축소하는 가장 유력한 방법은 교번 위상 시프트 마스크를 사용하는 것이다. 아직 실용화 단계에 이르지 는 않았지만 이 종류의 마스크는 라인-스페이스 패턴과 함께 투사 시스템의 해상 성능을 유리하게 향상시킨다. 예를 들어, 크롬 라인은 서로 반대의 위상 시프트를 갖는 스페이스에 의해 번갈아 분리되어 있고, 이것을 빛 위에 놓으면 빛이 마스크를 통과하여 웨이퍼를 노출시킨다. 위상 시프트의 정도를 변화시킴으로써 구조의 콘트라스트의 정도를 현저히 향상시킨다.
구조의 일차원적인 그리드, 즉 라인과 스페이스에서는 패턴의 투명한 부분, 즉 스페이스에 교번 위상 시프트를 적용하는 방법이 직접적이지만, 이를 이차원의 주기적 구조에 적용하는 것은 더 복잡하다. 예를 들어, 콘택 홀이 밀집한 구조의 행렬은 교번 위상 시프트의 경우에 항상 두개의 이웃하는 콘택 홀이 있고 이 콘택 홀은 투명하며 모두 동일한 위상 시프트의 특징을 갖는 것을 필요로 한다. 2 개의 위상을 할당함으로써 y-방향으로 최대의 콘트라스트를 얻거나 x-방향으로 최대의 콘트라스트를 얻지만, 동시에 모든 콘트라스트를 향상시킬 수는 없다.
감쇠형 위상 시프트 마스크 또는 하프 톤 마스크는 이미 연구되었으며, 마스크를 대량으로 생산하는데 사용된다. 불행하게도, 감쇠형 위상 시프트 마스크는 교번 위상 시프트 마스크의 경우에서처럼 노광 툴을 위한 해상 능력을 갖지 않는다. 전술한 모든 종류의 위상 시프트 마스크를 제작하는 것은 당업자에게 이미 공지된 것이며 여기서는 더 이상 기술하지 않을 것이다.
따라서, 본 발명의 주요 목적은 상기 문제점을 극복하고 반도체 웨이퍼 상에 패턴을 투사하는데 사용되는 위상 시프트 마스크의 구조를 향상시켜 높은 해상 능력을 얻는데 있다.
본 발명의 목적은 적어도 하나의 제 1 패턴을 포함하는 위상 시프트 마스크에 있어서, 제 1 패턴은 실질적으로 제 1 패턴과 동일한 복수의 제 2 패턴과 함께 이차원 행렬 내에 위치하고, 제 1 패턴은 제 1 면적, 마스크를 통과하여 조사되는 빛의 제 1 전달, 제 1 부분을 통과하여 마스크를 가로지르는 빛에 작용하는 제 1 위상 시프트를 포함하는 적어도 제 1 부분, 제 2 면적, 마스크를 통과하여 조사되는 빛의 제 2 전달, 제 2 부분을 통과하여 마스크를 가로지르는 빛에 작용하며 제 1 위상 시프트와는 다른 제 2 위상 시프트를 포함하는 적어도 제 2 부분을 포함하며, 제 1 부분의 제 1 면적과 제 1 전달률의 곱은 제 2 부분의 제 2 면적과 제 2 전달률의 곱과 실질적으로 같은 위상 시프트 마스크에 의해 해결된다.
다른 점은 종속항에 의해 명확하다.
본 발명에 따르면 교번 위상 시프트 마스크에서 공통적으로 사용되는 특징은 이차원상에서 개별적으로 확장되며 어떤 면에서는 감쇠형 위상 시프트 마스크의 경우에도 적용된다. 선행 기술상의 특징은 듀티 사이클(duty cycle)이 1이라는 것, 즉 교번 위상 시프트 마스크 상의 라인-스페이스 패턴에서 라인의 두께와 간격의 두께가 일치하기 때문에 푸리에 스펙트럼(Fourier spectrum)에서 제 0 차 회절 차수를 소멸시킨다. 이것은 다시 암암리에 단위 길이당 구성할 수 있는 패턴의 수에 대해서 주파수 배증(frequency doubling) 효과를 일으킨다. 이러한 유리한 효과를 각각의 방향에 대해서 서로 다른 듀티 사이클로 2 개의 직교하는 방향으로 확대하는 것은 아직 적용되지 않았다. 본 발명에 따르면 패턴 행렬의 듀티 사이클이 2 개의 직교하는 방향에서 서로 다른 청구항 1에서 요구하는 기본 형태가 이러한 확장을 가능하게 하고, 따라서 2 개의 차원에서 구조의 주파수 배증을 제공할 수 있다.
본 명세서에서, 특히 청구항에서는 달리 기술되지 않으면 마스크를 통과하여 마스크에 흡수되거나 반사되지 않고 전달된 빛은 전기장력의 퍼센트나 비율로 나타낸다. 빛의 크기의 파워는 마스크를 통과하는 빛의 전기장력의 제곱으로 정해진다.
빛의 파워에 대해서 측정하면 일반적인 하프-톤 위상 시프트 마스크에서 5 % 내지 7 % 정도의 전달률에 따라서 이러한 유형의 마스크에 주파수 배증 효과를 제공하기 위하여, 마스크의 설계 단계에서 콘트라스트를 향상시키는 간섭을 일으키는 감쇠 또는 비감쇠 광을 제공하는 각각의 부분의 면적을 조절할 수 있다.
본 발명의 선결 조건은 거의 동일한 패턴의 행렬이 웨이퍼 위로 투사되는 것보다 더 큰 구조를 제공하는 것이다. 이것은 제 1 패턴이 이와 인접한 제 2 패턴과 실질적으로 유사할 뿐 아니라 이들 패턴이 각 방향에서 주기적인 피치(pitch)를 제공하는 것을 의미한다. 이 피치는 마스크 상에서 제 1 차원/방향과 제 2 차원/방향 사이에서 변할 수 있다.
본 명세서에서 사용되는 패턴은 행렬 구조의 구성 요소를 나타내며, 마스크 상에서 양 차원에서 실질적으로 동일하게 반복되며 다음 행렬의 구성 요소인 다음 패턴과 직접적으로 접한다. 따라서, 위상 시프트 마스크는 패턴 내에 적어도 2 개의 부분을 제공하며, 제 1 부분은 위상 시프트의 제 1 량, 즉 0 을 갖고, 제 2 부 분은 위상 시프트의 제 2 량, 즉 π를 갖는다.
특히, 부분별로 각각 서로 다른 전달률, 즉 위상 시프트 마스크가 하프-톤 또는 감쇠형 위상 시프트 마스크인지의 여부에 따라, 본 발명은 이 부분에 해당하는 전달률이 가중된 표면적이 동일한 것을 특징으로 한다.
추가적으로 크롬 부분을 포함하는 교번 위상 시프트 마스크 또는 크롬리스(chromeless) 위상 시프트 마스크에서는, 각각의 부분, 예를 들어 에칭된 수정(quartz) 구멍 안쪽 간섭의 영향으로 무시할 만한 정도의 감쇠가 있다. 여기서 마스크의 수정 또는 유리 물질로 인한 감쇠의 부작용은 무시될 것이다. 본 문서에서 감쇠는 예를 들어 몰리브덴-실리콘 또는 다른 위상 시프트 물질과 같이 증착된 표면 층 물질에 의한 것만을 고려한다.
유리한 주파수 배증 효과는 다른 위상 시프트를 갖는 제 1 부분과 제 2 부분에 대한 빛의 기여도가 서로 동일할 때 발생한다. 교번 위상 시프트 또는 크롬리스 마스크의 경우, 0 과 π 위상 시프트 부분이 동일한 크기, 다시 말해 이 부분들의 면적이 동일할 것을 요구한다. 이 면적은 마스크의 표면에 투사되는 부분의 이차원 표면적이다.
하프-톤 또는 감쇠형 위상 시프트 마스크의 경우, 감쇠형 위상 시프트 부분의 면적, 다시 말해 제 2 부분은 많은 면적을 차지하여 그 감쇠의 정도를 완화시켜야 하므로, 전기장력에 의해 제공된 빛의 전달률에 반비례하여 더 크다.
예를 들어, 5 % 전달 - 빛의 파워에 의한 - 은 제 2 부분의 면적이 제 1 부분의 면적의 대략 4 내지 5 (20배의 제곱근) 배가 클 것을 요구하고, 이로써 감쇠 가 소멸된 것으로 간주한다. 빛의 파워에 대해서 5 %의 전달은 전기장력에 대해서 22.36 %의 전달의 제곱근에 해당한다.
밀집하게 구성된 경우에는 구조, 즉 부분이 큰 패턴을 요구하므로 낮은 하프-톤 위상 시프트 마스크는 본 발명에서는 그다지 효율적이지 않다. 따라서, 본 발명은 특히, 예를 들어 0.5 보다 큰 감쇠를 갖는 높은 전이 위상 시프트 마스크의 경우에 유리하다.
또 다른 측면에서는 크롬 구조가 제 3의 부분을 형성하는 경우가 고려된다. 이 경우, 이 불투명 영역은 본 발명에 따른 요구 조건에 영향을 주지 않는다. 본 발명과 관련 있는 특징은 완전히 투명하거나 감쇠하는 제 1 부분과 제 2 부분의 상대적 면적이다. 본 명세서에서 정의된 패턴 내에서 부분의 면적을 변화시키는 공정은 마스크를 설계하는 단계에서 바이어싱이라고 불리기도 한다.
본 발명의 특징과 효과는 첨부 도면과 함께 실시예를 참고하여 보다 쉽게 이해될 수 있을 것이다.
도 1은 프로젝션 시스템의 동공판 내에서 회절 차수의 발생을 도시한 도면이다.
도 2는 라인-스페이스 패턴의 교번 위상 시프트 마스크 프로젝션에서 제 0 차 회절 차수를 소멸시키는 것을 도시한 도면이다.
도 3은 감쇠형 위상 시프트 마스크의 본 발명에 따라 패턴의 프로젝션에서 제 0 차 회절 차수를 소멸시키는 것을 도시한 도면이다.
도 4는 다른 종류의 위상 시프트 마스크와 비교하여 감쇠형 위상 시프트 마스크에서 (1이 더해진) 감쇠의 함수로서 제 1 차 회절 차수 진폭의 관련성을 도시한 도면이다.
도 5a는 본 발명에 따른 위상 시프트 마스크 패턴의 제 1 실시예를 도시한 도면이고, 도 5b는 웨이퍼 상에 투사된 결과를 도시한 도면이다.
도 6은 도 5와 동일하나 본 발명에 따른 다른 패턴을 도시한 도면이다.
도 7은 도 6과 동일하나 다른 각도에서 반도체 웨이퍼 상에서의 주파수 배증을 도시하는 도면이다.
도 8은 본 발명에 따라 크롬리스 위상 시프트 마스크에 관한 패턴의 다른 실시예를 도시한 도면이다.
도 9는 도 8과 유사하나 감쇠형 위상 시프트 마스크를 위한 패턴의 다른 실시예를 도시한 도면이다.
도 1에는 노광장치, 예를 들어 프로젝션 스텝퍼(projection stepper)가 개략적으로 도시되어 있다. 복수의 패턴(3, 3')을 포함하는 주기적인 십자선 구조가 마스크(1)를 통하여 주어진 파장으로 조사된 빛(8)에 의해 위상 시프트 마스크(1)로부터 렌즈(7)를 통과하여 반도체 웨이퍼(2) 상에 투사된다. 반도체 웨이퍼(2)는 노출되고, 마스크(1) 상의 패턴 크기, 렌즈(7) 특성 및 서로 다른 푸리에 회절 차 수가 반도체 웨이퍼(2) 상에 이미지를 형성하는데 영향을 미치는 개구의 크기 등에 따라 해상도를 갖도록 구성된다.
푸리에 스펙트럼에서 동공 개구 형태(6) 내에 또는 이로부터 일정 거리에 위치하는 모든 회절 차수는 웨이퍼 상의 최종 이미지에 영향을 미친다. 프로젝션 시스템의 해상도의 한계에 가까운 간단한 라인-스페이스(line-and-space) 패턴에 있어서 제 1 하모닉의 진폭은 중요한 파라미터이며 예를 들어 이미지나 구조의 콘트라스트를 제어한다.
교번 위상 시프트 마스크의 원리는 도 2에 도시되어 있다. 패턴(3)을 형성하는 라인-스페이스 패턴을 측면에서 보면, 제 1 부분(100)은 마스크(1)의 수정 물질을 에칭하여 예를 들어 π만큼의 위상 시프트를 제공한다. 패턴(3)의 제 2 부분(101)은 투명하지만 에칭되지 않아서 실질적으로 위상 시프트가 일어나지 않는다. 교번 위상 시프트 공간을 구성하는 이 두 부분(100, 101)은 불투명 크롬 구조(11)에 의해 분리되어 있다.
도 2의 중간 부분에는 패턴(3)에 의해 형성된 빛의 전기장(40)이 도시되어 있고, 아래부분에는 동공 개구(6)에서의 회절 차수의 진폭이 도시되어 있다.
투사할 때, 이 부분의 다른 광로 길이가 도 2에 도시된 통상의 크롬 온 글래스(chrome-on-glass) 마스크에 대한 전기장(40)의 위상을 변화시킨다. 이 특징으로 인하여 주파수 공간에서의 제 0 차 회절(20)을 소멸시켜 주파수 배증(frequency doubling)이 일어난다. 제 1 차 회절 차수(21)의 진폭은 2.83/2π로 계산될 수 있다.
크롬 온 글래스 마스크(COG-마스크)나 통상의 감쇠형 위상 시프트 마스크(HTPSM)에는 주파수 배증이 일어나지 않는다. 따라서, 푸리에 스펙트럼은 제 0 차 회절 차수를 포함하며 제 1 하모닉의 진폭은 일반적으로 크롬 온 글래스 마스크의 경우에는 2/2 π, 그리고 예를 들어 6 %의 전달률을 갖는 감쇠형 위상 시프트 마스크의 경우에는 2.49/2 π로 계산된다. 확실히 교번 위상 시프트 마스크(APSM)는 COG-마스크나 HTPSM에 비해서 우수한 콘트라스트를 제공한다.
HTPSM에 대한 본 발명의 실시예는 그 측면이 도 3의 위 부분에 도시되어 있다. 감쇠와 위상 시프트를 갖지 않는 제 1 부분(101)은 몰리브덴-실리콘으로 구성된 상위 층을 포함하는 제 2 부분(102) 내에 형성되며, 이것이 마스크(1) 상에 조사된 빛(8)을 감쇠시키고 위상을 시프트 시킨다. 마스크(1)로부터 나오는 빛의 전기장력(40)은 도 3의 중간 부분에 도시되어 있다. 본 발명에 따라 제 1 부분(101)의 표면적(201)이 제 2 부분(102)의 표면적(202)과 전기장력(40)의 전송률의 곱과 같으면 제 0 차 회절 차수(20)는 소멸하고 주파수 배증이 일어난다.
도 3에서 표면적은 간단하게 제 1 방향의 화살표로 표시되어 있고, 실제 표면적을 연장한 제 2 방향은 문서 방향에 수직한 것으로 본다.
도 4에 도시된 다이어그램에서는 간단한 라인-스페이스 패턴으로 구성된 HTPSM의 제 1 차 하모닉 진폭이 전송률의 함수로서, 이에 대응하는 APSM (2.83/2π), 크롬리스(chromeless) PSM (4.00/2π), 그리고 통상적으로 구성된 6% HTPSM (2.49/2π)의 진폭과 비교하여 제시되었다. 47 %의 전기장력(40)의 전송률에 대해서, 즉 높은 전이 PSM의 제 1 차 하모닉은 이미 6 % HTPSM 보다 우수하고, 56 %에 서는 APSM의 진폭보다도 크다.
도 5에는 두개의 차원으로 연장하는 것이 도시되어 있는데, 마스크(1) 위에서 반투명한 둘레(제 2 부분(102))에 둘러싸여 있으며 서로에 대해서 대각선 방향으로 위치한 2 개의 완전히 투명한 콘택 홀(301, 제 1 부분(101))을 포함하는 패턴(3)의 본 발명에 따른 간단한 실시예가 (왼쪽에) 도시되어 있다. 반도체 웨이퍼(2) 상의 투사된 구조(302)도 (오른쪽에) 도시되어 있는데, 마스크(1)와 동일한 크기로 도시되어 있다. 주파수 배증은 명백하다. 감쇠된 영역의 표면적, 즉 제 2 부분(102)은 본 발명에 따른 전달률에 따라 가중되며 그 결과 제 0 차 회절 차수의 진폭이 사라진다. 도 5a에서는 오른쪽에는 마스크(1) 상에서의 콘택 홀의 위치가 웨이퍼(2) 상의 투사된 구조(302) 위에 도시되어 있다.
도 5b에는 감쇠된 부분의 전기장 전달률로 가중된 제 1 및 제 2 부분의 크기가 같다는 조건으로 부터 벗어난 도 5a의 상태(왼쪽)를 본 발명에 해당하는 이 조건을 실질적으로 만족시키는 상태(오른쪽)와 비교하고 있다. 만약 콘택 홀이 위 의 동일 조건보다 조금이라도 크거나 작아지면 주파수 배증은 결국 사라지고 마스크로부터 분명하게 보이는 유사한 패턴의 구조, 즉 콘택 홀(301)의 대각선 구조가 웨이퍼 상에 나타난다.
도 6에는 다른 실시예로서 단지 하나의 콘택 홀(301)로 각각 이루어진 패턴(3)의 행렬을 포함하는 마스크(1)가 도시되어 있다. 도 5의 대각선 구조와 비교하여 이 실시예에서 상대적인 위치는 그리드 구조를 갖는다. 콘택 홀의 크기는 설계 단계에서 제 1 완전 투명 부분(101)의 표면적을 32,400 nm2로 제공하기 위해 180 nm x 180 nm로 선택한다. 이 HTPSM에서 둘레를 이루는 반투명 제 2 부분(102)의 면적은 127,600 nm2이다. 따라서 본 발명의 따른 조건을 만족시키는 전달률은 전기장력 전달로 25.4 %에 달한다. 그러면, 이에 해당하는 HTPSM의 일반적으로 제공된 빛 전달 파워는 약 6.4 %이다. 도 4로부터 쉽게 추론할 수 있듯이 예를 들어, 전기적인 빛의 전달률이 45 %보다 큰 높은 전이 HTPSM이라도 더 큰 제 1 차 하모닉을 통하여 큰 콘트라스트를 제공한다. 25.4 %의 전기장 전달은 74.6 %의 감쇠를 의미하므로, 도 4의 x축 상에서 (x-1) = 1.746의 수치를 제공한다. 제 1 차 진폭이 약 3.2/2π... 3.3/2π에 달하고, 이는 통상적인 HTPSM이나 APSM에서 보다 나은 수치이다. 감쇠가 없는 경우, 즉, (x-1) = 2인 경우, 도 4의 곡선은 크롬리스 PSM의 경우로 접근한다. 그럼에도 불구하고, 엄격하게는 도 4의 다이어그램은 라인-스페이스 패턴의 경우에만 유효하다.
도 6b에는 도 5b와 유사한 본 실시예의 변형이 도시되어 있다.
도 7에는 도 6에 도시된 것과 유사한 실시예가 45도 회전한 상태로 도시되어 있다. 여기서, 크롬리스 PSM의 경우가 도시되어 있으며, 거의 감쇠가 일어나지 않으므로 같은 둘레 면적(도 7b)을 요구한다. 도 7a에는 마스크가 도시되어 있으며, 본 발명에 따른 조건을 만족시키지 않는다. 주파수 배증은 도 7b에 도시된 기본적인 형태의 경우에만 일어나며, 이것은 도 7a나 7b의 오른쪽에 도시되어 있다.
크롬리스 PSM에서 기본 형태의 다른 실시예는 도 8에 도시되어 있다. 본 발 명에 따른 형태는 웨이퍼 상에 투사된 구조를 확대시키고 이로써 라인의 축소를 제어하도록 설계될 수 있다. 도 8의 y-방향으로 패턴의 일부나 구조를 확대시킴으로써 그 결과 제 0 차 회절 차수를 제거시키고 따라서 주파수 배증의 조건을 만족시키면서 동시에 웨이퍼 상에 결과적으로 투사된 구조의 확장을 변화시킬 수도 있다. 도 8의 실시예를 감쇠형 위상 시프트 마스크에 적용하기 위해서는 도 8에 따른 기본 형태를 제공하는 사각형과 함께 U 형태는 도 9에 도시된 본 발명의 조건을 따르도록 수정된다.
참조 번호 목록
1 마스크 2 반도체 웨이퍼
3 패턴 3' 다른 패턴
6 동공판, 푸리에 스펙트럼
7 물체 렌즈 시스템
8 조사 광
11 크롬, 제 3 부분
20 0차 회절 차수
21 1차 회절 차수, 1차 하모닉
22 2차 회절 차수 40 빛의 전기장 힘
100 제 1 부분, 위상 시프트 (APSM, 크롬리스)
101 제 2 부분, 위상 시프트 없음
102 제 1 부분, 위상 시프트 (HTPSM)
201 제 1 부분의 표면 202 제 2 부분의 표면
301 콘택 홀
302 웨이퍼 상의 콘택 홀의 구조 이미지

Claims (8)

  1. 적어도 하나의 제 1 패턴(3)을 포함하는 위상 시프트 마스크(1)에 있어서, 상기 제 1 패턴은 실질적으로 상기 제 1 패턴과 동일한 복수의 제 2 패턴과 함께 2차원 행렬 내에 위치하고, 상기 제 1 패턴은
    i) 제 1 면적,
    ii) 상기 마스크(1)를 통과하여 조사되는 빛의 전기장력의 제 1 전달,
    iii) 상기 제 1 부분을 통과하여 상기 마스크(1)를 가로지르는 빛에 작용하는 제 1 위상 시프트를 포함하는 적어도 제 1 부분,
    i) 제 2 면적,
    ii) 상기 마스크(1)를 통과하여 조사되는 빛의 전기장력의 제 2 전달,
    iii) 상기 제 2 부분을 통과하여 상기 마스크를 가로지르는 빛에 작용하며 상기 제 1 위상 시프트와는 다른 제 2 위상 시프트를 포함하는 적어도 제 2 부분을 포함하며,
    상기 제 1 부분(101)의 상기 제 1 면적과 상기 제 1 전달률의 곱이 상기 제 2 부분(102, 100)의 상기 제 2 면적과 상기 제 2 전달률의 곱과 실질적으로 같고,
    상기 제 1 및 상기 제 2 전달률은 각각 서로 다른
    위상 시프트 마스크.
  2. 제 1 항에 있어서, 상기 제 1 패턴(3)의 제 3 부분(11)이 빛에 대하여 투명하지 않는
    위상 시프트 마스크.
  3. 제 1 항에 있어서,
    상기 제 1 부분의 상기 제 1 두께와 상기 제 2 부분의 상기 제 2 두께가 제 1 방향으로 연장하고 상기 제 2 두께에 대한 상기 제 1 두께의 비율은 1 이 아니며,
    상기 제 1 부분의 상기 제 1 길이와 상기 제 2 부분의 상기 제 2 길이가 상기 제 1 방향에 수직인 제 2 방향으로 연장하고 상기 제 2 길이에 대한 상기 제 1 길이의 비율은 1 이 아닌
    위상 시프트 마스크.
  4. 제 1 항에 있어서,
    상기 제 1 패턴(3)의 상기 부분(101, 102, 100)은 각각 적어도 하나의 축에 대해서 대칭인
    위상 시프트 마스크.
  5. 제 2 항에 있어서,
    상기 제 1 패턴(3)의 상기 부분(101, 102, 100)은 각각 두개의 직교하는 축에 대해서 대칭인
    위상 시프트 마스크.
  6. 제 1 항 내지 제 4 항 중 어느 하나의 항에 있어서,
    상기 제 1 부분(101)은 사각형을 포함하고,
    상기 제 2 부분(102, 100)은 상기 제 1 부분의 상기 사각형의 4 면을 인접하고 둘러싸는 4 개의 라인의 세트를 포함하는
    위상 시프트 마스크.
  7. 제 1 항 내지 제 4 항 중 어느 하나의 항에 있어서,
    상기 제 1 부분(101)은 U 형태의 제 1 하위 패턴을 포함하고,
    상기 제 2 부분(102)은 U 형태의 제 2 하위 패턴을 포함하고,
    상기 U 형태의 개구 부분은 서로 마주하도록 향하고,
    상기 제 1 부분(101)은 상기 제 2 부분의 상기 제 2 하위 패턴에 의해 3 면이 둘러싸인 제 3 사각형 하위 패턴을 포함하고,
    상기 제 2 부분(102)은 상기 제 1 부분의 상기 제 1 하위 패턴에 의해 3 면이 둘러싸인 제 4 사각형 하위 패턴을 포함하는
    위상 시프트 마스크.
  8. 제 1 항에 있어서,
    상기 제 1 및 제 2 전달률은 상기 조사된 빛의 45 퍼센트 보다 큰
    위상 시프트 마스크.
KR1020047002956A 2001-08-28 2002-08-16 위상 시프트 마스크 KR100552796B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP01120508A EP1288716A1 (en) 2001-08-28 2001-08-28 Phase-shift mask
EP01120508.5 2001-08-28
PCT/EP2002/009179 WO2003021351A2 (en) 2001-08-28 2002-08-16 Phase-shift mask

Publications (2)

Publication Number Publication Date
KR20040044502A KR20040044502A (ko) 2004-05-28
KR100552796B1 true KR100552796B1 (ko) 2006-02-21

Family

ID=8178437

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047002956A KR100552796B1 (ko) 2001-08-28 2002-08-16 위상 시프트 마스크

Country Status (5)

Country Link
US (1) US7074529B2 (ko)
EP (1) EP1288716A1 (ko)
KR (1) KR100552796B1 (ko)
TW (1) TW554236B (ko)
WO (1) WO2003021351A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10339514B4 (de) * 2003-08-27 2008-08-07 Qimonda Ag Verfahren zur Belichtung eines Substrates
DE10351972B4 (de) * 2003-11-07 2005-12-29 Infineon Technologies Ag Verfahren zum Bestimmen des optischen Einflusses einer Maskenschicht einer lithographischen Maske
DE102004015930B4 (de) * 2004-03-31 2008-08-28 Qimonda Ag Verfahren zur lithographischen Projektion eines Musters von isolierten oder semi-isolierten Strukturelementen und Verwendung des Verfahrens zur Herstellung einer integrierten Schaltung
KR100607203B1 (ko) 2005-01-05 2006-08-01 삼성전자주식회사 크롬리스 위상반전마스크의 제조방법
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
KR100734691B1 (ko) * 2005-12-28 2007-07-02 동부일렉트로닉스 주식회사 반도체 소자의 컨택홀 형성용 마스크 패턴 형성방법
US7846643B1 (en) 2007-11-02 2010-12-07 Western Digital (Fremont), Llc Method and system for providing a structure in a microelectronic device using a chromeless alternating phase shift mask
CN112904682B (zh) * 2021-01-22 2023-08-01 西华大学 一种测量倾角和旋转角的光刻对准标记及对准方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69131497T2 (de) * 1990-06-21 2000-03-30 Matsushita Electronics Corp Photomaske, die in der Photolithographie benutzt wird und ein Herstellungsverfahren derselben
US5415952A (en) * 1992-10-05 1995-05-16 Fujitsu Limited Fine pattern lithography with positive use of interference
JPH0764273A (ja) * 1993-08-27 1995-03-10 Sony Corp 位相シフトマスク
JP3177404B2 (ja) * 1995-05-31 2001-06-18 シャープ株式会社 フォトマスクの製造方法
JPH1083061A (ja) * 1996-09-06 1998-03-31 Mitsubishi Electric Corp 位相シフトマスク、位相シフトマスクの製造方法および位相シフトマスクを用いたパターン形成方法
US6534242B2 (en) * 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation

Also Published As

Publication number Publication date
WO2003021351A3 (en) 2003-10-23
US7074529B2 (en) 2006-07-11
US20040229134A1 (en) 2004-11-18
KR20040044502A (ko) 2004-05-28
TW554236B (en) 2003-09-21
EP1288716A1 (en) 2003-03-05
WO2003021351A2 (en) 2003-03-13

Similar Documents

Publication Publication Date Title
Toh et al. Chromeless phase-shifted masks: a new approach to phase-shifting masks
KR100265549B1 (ko) 하프톤형 위상 시프트 포토마스크 및 그 제조 방법
KR100395892B1 (ko) 광 근접성 보정
JP2986086B2 (ja) 位相シフト・マスクおよびその製造方法
US6134008A (en) Aligner and patterning method using phase shift mask
JPH08227140A (ja) 位相シフト・リソグラフィ・マスクおよびその製造方法
KR0153221B1 (ko) 감소형 투영 프린팅 장치에 사용되는 공간 필터
KR100552796B1 (ko) 위상 시프트 마스크
JP3588212B2 (ja) 露光用マスク及びその作製方法並びに半導体装置の製造方法
Toh et al. Optical lithography with chromeless phase-shifted masks
CN1954262B (zh) 光刻中的成像和器件
KR100713955B1 (ko) 투영 장치, 투영 방법 및 조명 퍼필 설정 방법
JPH0695360A (ja) 光学マスク
KR0135355B1 (ko) 투영 노광장치
KR100510447B1 (ko) 반도체 소자의 위상 반전 마스크 및 그 제조방법
KR100429860B1 (ko) 교번형 위상반전 마스크 및 그 제조방법
JPH0736174A (ja) 光学マスク
KR100278645B1 (ko) 하프톤형 위상 반전 마스크 및 그 제조방법
JPH09138497A (ja) レジスト露光方法及び露光マスク
JP3335364B2 (ja) 位相シフトマスク及びパターン形成方法
KR100272656B1 (ko) 반도체 소자의 레티클 구조
Chou et al. Focus latitude enhancement of symmetrical phase mask design for deep submicron contact hole patterning
JPH07281416A (ja) 露光マスク
JPH06130646A (ja) パターン形成方法とマスク
JPH07333824A (ja) フォトマスク

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee