KR100292456B1 - 게르마늄도핑된bpsg박막형성방법및그장치 - Google Patents

게르마늄도핑된bpsg박막형성방법및그장치 Download PDF

Info

Publication number
KR100292456B1
KR100292456B1 KR1019970004344A KR19970004344A KR100292456B1 KR 100292456 B1 KR100292456 B1 KR 100292456B1 KR 1019970004344 A KR1019970004344 A KR 1019970004344A KR 19970004344 A KR19970004344 A KR 19970004344A KR 100292456 B1 KR100292456 B1 KR 100292456B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
chamber
layer
germanium
Prior art date
Application number
KR1019970004344A
Other languages
English (en)
Other versions
KR970063444A (ko
Inventor
카쓰린 러쎌
스투아르도 로브레스
방 씨. 엔구엔
비스베스와렌 시바라마크리쉬난
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970063444A publication Critical patent/KR970063444A/ko
Application granted granted Critical
Publication of KR100292456B1 publication Critical patent/KR100292456B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 웨이퍼 또는 기판 상에 산화 실리콘층을 증착하기 위한 방법 및 장치에 관한 것이다. 본 발명의 방법은 800 ℃ 이하의 재흐름 온도를 가지는 게르마늄 도핑된 BPSG 산화층을 형성하기 위해 실리콘, 산소, 붕소, 인 및 게르마늄을 포함하는 처리 가스를 처리 챔버에 유입하는 단계를 포함한다. 본 방법의 바람직한 실시예는 대기압보다 낮은 CVD 또는 플라즈마 강화된 처리 장치에서 수행된다.

Description

게르마늄 도핑된 BPSG 박막 형성 방법 및 그 장치
본 발명은 반도체 웨이퍼의 처리에 관한 것으로서, 특히 반도체 기판 상에 형성되는 게르마늄 도핑된 붕소 인 실리케이트 글라스(BPSG :boron phosphorus silicate glass) 층 형성 방법 및 개선된 그 장치에 관한 것이다. 본 발명은 특히 금속전 유전체층에 대해 유용하지만, 금속간 유전체층, 보호층, 및 기타의 형성에 제공될 수 있다.
산화 실리콘은 반도체 소자의 제조에서 절연층으로서 폭넓게 사용된다. 산화 실리콘은 실란(SiH4), 본 명세서에 "TEOS(tetraethoxysilane)"로서 참조되는 테트라에쓰옥시실란(Si(OC2H5)4), 또는 산소 함유 소스(O2, 온존(O3) 등)를 갖는 유사한 실리콘 함유 소스의 반응으로부터 열적 화학 기상 증착(CVD : chemical vapor deposition) 또는 플라즈마 강화된 화학 기상 증착(PECVD : plasma enhanced CVD) 공정에 의해 증착될 수 있다.
산화 실리콘막의 한 특별한 사용은 폴리실리콘 게이트/상호 접속층과 MOS 트랜지스터의 제 1 금속층 사이의 분리층과 같은 것이다. 이런 분리층은 일반적으로 다층 금속 구조의 어떤 금속층 이전에 증착되기 때문에 금속전 유전체(PMD: premetal dielectric)층으로서 참조된다. 낮은 유전 상수, 낮은 스트레스 및 좋은 접착 특성에 부가적으로, PMD 층에 대해 좋은 평탄화 특성을 가진다.
PMD층으로서 사용될 때, 상기 산화 실리콘막은 통상 양각 또는 계단식 표면을 포함하는 하부 레벨 폴리실리콘 게이트/ 상호 접속층에 걸쳐 증착된다. 초기에 증착된 막은 일반적으로 폴리층의 형태에 따르고, 전형적으로 상부 금속층이 증착되기 전에 평탄화 되거나 평평하게 된다. 산화막이 재흐름 온도까지 가열되는 표준 재흐름 공정이 막을 평탄화 하는데 사용될 수 있다. 선택적으로, 화학 기계적 연마(CMP : chemical mechenical polishing) 또는 에칭 기술이 사용될 수 있다.
낮은 유전 상수, 낮은 스트레스, 좋은 접착성 및 상당히 낮은 재흐름 온도 때문에, 붕소 인 실리케이트 글라스는 PMD층에서 뛰어난 능력을 발휘하는 산화 실리콘막 중 하나이다. 표준 BPSG막은 처리 챔버에 산화 실리콘층을 형성하는데 요구되는 실리콘 및 산소 함유 소스와 함께 인 함유 소스 및 붕소 함유 소스를 유입함으로써 형성된다. 인 함유 소스의 보기로는 트리에틸포스페이트(TEPO), 트리에틸포스피트(TEPⅰ), 트리메틸포스페이트(TMOP), 트리에틸포스피트(TMPⅰ) 등이 있다. 붕소 함유 소스의 보기로는 트리에틸보레이트(TEB), 트리메틸보레이트(TMB) 등이 있다.
표준 BPSG 막은 3-5 중량 퍼센트(wt%) 붕소 농도와 3-6 wt% 인 농도 사이에 있다. 이런 농도 레벨에서, 공지된 BPSG막의 재흐름 온도는 일반적으로 약 800-900℃이다.
현재 제조되는 반도체 집적 회로는 초고밀도(0.5 미크론) 디자인 규정에 따르고, 가까운 미래에 제조되는 회로는 초미세 디자인 규정에 따를 것이다. 이런 작은 형태의 크기에서, 다소의 공정에서 얕은 접합을 유지하고 자기 정렬된 티타늄 실리사이드 콘택트 구조의 저하를 방지하기 위해 PMD층의 재흐름 및 다른 공정 단계는 800℃ 이하로 유지되어야 한다는 한계에 이른다.
BPSG막은 붕소의 농도 레벨을 5% 이상까지 증가시킴으로써 800 ℃ 이하에서 흐르도록 제조될 수 있다. 그러나, 이런 증가된 붕소 농도 레벨에서 BPSG 층의 안정성은 반대로 악영향을 끼친다. 물론 재흐름과 다른 방법, 이를테면 화학 기계적 연마 또는 에칭이 대용으로서 PMD 산화층을 평탄화하는데 사용될 수 있다.
정리하면 유전체층에 대한 요구 및 이런 층을 형성하는 방법은 낮은 유전 상수, 높은 안정성, 낮은 스트레스, 좋은 접착력, 및 800 ℃ 이하의 온도에서 다시 흐를 수 있는 PMD층으로서 사용하기 위한 다른 충분한 특성을 가져야 한다는 것을 알 수 있다.
층의 재흐름 온도를 더 낮게 하도록 BPSG층에 게르마늄이 제공되는 열공정이 제안되어 있다. 이런 공정은 저압 화학 기상 증착(LPCVD : low pressure CVD)과 대기압 화학 기상 증착(APCVD : atmospheric CVD) 방법을 포함한다. 둘 다의 공정에서, 인 함유 소스로서 TEPⅰ의 사용은 산화 실리콘막에 부적당한 P2O3 결합의 형성을 초래하여 상당히 낮은 안정성의 막을 형성하게 된다. 이런 제시된 공정의 다른 문제점은 다른 공정(APCVD 또는 LPCVD)을 사용하여 증착되는 Ge-BPSG 막의 증착 속도가 상당히 느려서 반대로 웨이퍼 생산량에 악영향을 끼친다는 것이다.
본 발명의 목적은 낮은 유전 상수, 높은 안정성, 낮은 스트레스, 좋은 커버리지 및 좋은 접착 특성을 가지는 유전체층을 제공함으로써 종래 기술의 문제점을 해결하는데 있다. 상기 층은 적어도 4000-5000 Å/분 사이에서 증착되고 약 적어도 700-800 ℃의 온도에서 다시 흐를 수 있다. 본 발명의 다른 목적은 이런 유전체 층을 형성하기 위한 방법 및 장치를 제공하는 것이다.
도 1은 본 발명이 수행될 수 있는 간략화된 병렬 플레이트 화학 기상 증착 반응기의 수직 단면도.
도 2는 본 발명의 방법에 따라서 제조되는 반도체 소자의 간략화된 단면도.
도 3은 본 발명의 한 실시예에 따른 게르마늄 도핑된 BPSG층의 형성에서 취해지는 단계를 도시하는 순서도.
도 4는 본 발명의 다른 실시예에 따른 게르마늄 도핑된 BPSG층의 형성에서 취해지는 단계를 도시하는 순서도.
<도면의 주요 부분에 대한 부호의 설명>
11 : 가스 인렛 매니폴드 12 : 서셉터 15 : 진공 챔버
19 : 가스 혼합 시스템 24 : 진공 매니폴드 32 : 드로틀 밸브
34 : 프로세서 38 : 메로리
본 발명의 한 실시예에서, 절연층 증착 방법이 개시되어 있다. 상기 방법은 처리 챔버에 붕소, 인, 산소 및 실리콘과 함께 게르마늄을 유입하는 단계; 및 게르마늄 도핑된 붕소 인 실리케이트 글라스(Ge-BPSG)층을 형성하기 위해 약 100-600 torr까지 상기 챔버내의 압력을 설정하는 단계를 포함한다. 게르마늄은 테트라메틸옥시게르만(TMOG), 테트라에쓰옥시게르마늄(TEOG) 또는 유사한 가스 소스로부터 유입될 수 있다.
본 발명에 따른 다른 실시예에서, 게르마늄 소스, 이를테면 TMOG는 처리 챔버에 유입되는 붕소, 인, 실리콘 및 산소를 포함하는 처리 가스에 부가된다. 플라즈마는 게르마늄 도핑된 BPSG 층을 챔버내에 배치된 기판 상에 증착하기 위하여 처리 가스로부터 형성된다.
본 발명의 한 실시예에 따른 장치는 처리될 웨이퍼가 위치되어 있는 진공 챔버에 가스 분배 매니폴드를 통해 게르마늄, 붕소, 인, 산소 및 실리콘을 포함하는 처리 가스를 유입시킨다. 접속된 메모리에 저장된 컴퓨터 프로그램을 실행하는 프로세서는 증착 공정을 위한 챔버내의 온도와 압력을 설정하고 유지하기 위해 히터와 진공 시스템을 제어한다. 또한 상기 프로세서는 처리 가스가 진공 챔버에 유입되는 속도와, 가스 및 액체 소스가 처리 가스를 형성하기 위해 혼합 되는 비율을 설정하기 위해 가스 소스 분포 시스템을 제어한다.
본 발명의 다른 실시예에 따른 장치는 처리될 웨이퍼가 위치되어 있는 진공 챔버에 가스 분배 매니폴드를 통해 게르마늄, 붕소, 인, 산소 및 실리콘을 포함하는 처리 가스를 유입시킨다. 전력 공급 장치는 상기 가스로부터 플라즈마를 형성하여 상기 웨이퍼에 게르마늄 도핑된 BPSG층을 증착하기 위해 선택된 주파수의 전압을 제공한다. 히터와 진공 시스템은 증착 공정을 위한 챔버내의 온도와 압력을 설정하고 유지하기 위해 사용되며, 가스 소스 분배 시스템은 가스 및 액체 소스가 처리 가스를 형성하기 위해 유입되는 속도와 비율을 설정하는데 사용된다. 상기 전력 공급 장치, 히터, 진공 시스템 및 가스 소스 분배 시스템은 모두 접속된 메모리에 저장된 컴퓨터 프로그램을 실행하는 프로세서에 의해 제어된다.
이제 본 발명에 따른 바람직한 실시예를 첨부된 도면을 참조하여 보다 상세히 설명할 것이다.
Ⅰ. 바람직한 CVD 반응로 시스템
본 발명에 따른 게르마늄 도핑된 BPSG 층은 서로 다른 다양한 CVD 공정 장치에 의해 형성될 수 있다. 본 발명의 방법이 수행되는데 적당한 하나의 CVD 장치는 도 1에 도시되는데, 도 1은 진공 챔버(15)를 가지는 병렬 플레이트 화학 기상 증착 시스템(10)의 간략화된 수직 단면도를 나타낸다. CVD 시스템(10)은 서셉터(12)에 안착되는 웨이퍼(도시 안됨)에 증착 가스를 분산시키기 위한 가스 인렛 매니폴드(11)를 포함한다. 서셉터(12)( 및 서셉터(12)의 상부 표면 상에 지지되어 있는 웨이퍼)가 하부 로딩/오프-로딩 위치와 매니폴드(11)에 근접하게 인접하는 상부 처리 위치(14) 사이에서 제어 가능하게 이동될 수 있도록 서셉터(12)는 열적으로 크게 반응하고 지지용 핑거(13)에 장착된다.
서셉터(12)와 웨이퍼가 처리 위치에 있을 때, 그들은 환형 진공 매니폴드(24)내로 배기되는 다수의 일정한 간격의 홀(23)을 가지는 배플 플레이트(17)에 의해 둘러싸여진다. 증착 및 캐리어 가스는 그들이 결합되는 혼합 챔버(19)내의 가스 라인(18)을 통해 공급되며, 매니폴드(11)에 보내진다. 공정 동안, 매니폴드(11)에 공급되는 가스는 화살표(21)에 의해 표시된 바와 같이 웨이퍼의 표면에 걸쳐 균일하게 방사상으로 분포된다. 다음에 상기 가스는 원형 진공 매니폴드(24)내의 포트(23)를 통해 진공 펌프 시스템(도시 안됨)에 의해 외부로 배기된다. 가스가 배기 라인(31)을 통해 배출되는 속도는 드로틀 밸브(32)에 의해 제어된다.
CVD 시스템(10)에서 수행되는 증착 공정은 열공정 또는 플라즈마 강화된 공정이 될 수 있다. 플라즈마 공정에서, 제어된 플라즈마는 RF 전력 공급(25)으로부터 인렛 매니폴드(11)에 인가되는 RF 에너지에 의해 웨이퍼에 인접하게 형성된다. 또한 인렛 매니폴드(11)는 RF 전극인 반면, 서셉터(12)는 접지된다. RF 전력 공급(25)은 챔버(15)에 유입되는 반응 종류의 분해를 강화하도록 매니폴드(11)에 단일 또는 혼합 주파수 RF 전력을 공급할 수 있다.
외부 램프 모듈(26)은 서셉터(12)의 환형 외부 주변부에 있는 수정 창(28)을 통해 조준된 빛(27)의 환형 패턴을 제공한다. 이런 열 분포는 서셉터의 자연적 열 손실 패턴을 보상하여 증착 효과를 위한 빠른 열적 균일한 서셉터와 웨이퍼 가열을 제공한다.
모터(도시 안됨)는 처리 위치(14)와 하부 웨이퍼 로딩 위치 사이에서 서셉터(12)를 상승 또는 하강시킨다. 상기 모터, 가스 라인(18)에 접속된 가스 공급 밸브, 드로틀 밸브 및 RF 전력 공급(25)은 단지 몇몇이 도시된 제어 라인(36) 상의 프로세서(34)에 의해 접속된다. 프로세서(34)는 메모리(38)에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 상기 컴퓨터 프로그램은 시간, 가스의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치 및 특정 공정의 다른 파라미터를 규정한다.
전형적으로, 어떤 또는 모든 챔버 내층, 가스 인렛 매니폴드 면플레이트, 지지용 핑거(13) 및 여러 다른 반응로 하드웨어는 양극 처리된 알루미늄 같은 재료로 형성된다. 이런 CVD 장치는 발명의 명칭이 "이산화물 실리콘의 열적 화학적 기상 증착과 인-시튜 다단계 평탄화 공정을 위한 열적 CVD/PECVD 반응로 및 그 사용"인 미합중국 특허 제 5,000,113호에 개시되어 있다.
이와 같은 CVD 시스템 설명은 주로 예시적 목적을 위한 것이고, 본 발명의 범위를 제한하는 것으로 간주될 수 없다. 다양한 평판 또는 서셉터 디자인, 히터 디자인, RF 전력 접속의 배치 등과 같은 전술된 시스템의 변형이 가능하다. 부가적으로, 다른 CVD 장치, 이를테면 유도 결합 플라즈마 CVD 장치, 전자 가속 공명(ECR : electron cyclotron resonance) 플라즈마 CVD 장치 등이 사용될 수 있다. 본 발명의 유전체층 및 그 제조 방밥은 어떤 특정 장치 또는 어떤 특정한 플라즈마 여기 방법에 제한되지 않는다.
Ⅱ. 게르마늄 도핑된 BPSG 층의 형성
A. 플라즈마 강화된 화학 기상 증착
본 발명의 한 실시예에서, Ge-도핑된 BPSG층은 200㎜ 웨이퍼에 대해 적합한 전술된 바와 같은 PECVD 공정 장치에서 얻어진다. 이런 실시예에 따른 Ge-BPSG층의 형성은 저압 CVD(LPCVD) 또는 대기압 CVD(APCVD) 공정으로 층을 형성하는 것보다 증가된 증착 속도와 낮은 증착 온도를 허용한다.
이런 실시예의 Ge-BPSG 막을 형성하기 위하여, 처리될 웨이퍼는 진공 록 도어를 통해 진공 챔버(15)내의 서셉터(12) 상에 로딩되어 처리 위치(14)로 이동된다(도 3, 단계 300과 305). 처리 위치(14)에서, 상기 웨이퍼는 일반적으로 가스 분배 매니폴드(11)로부터 1 센티미터가 안된다. 바람직하게 상기 웨이퍼는 상기 가스 분배 매니폴드로부터 약 220-275 밀(mils)에 위치된다. 이런 공간은 웨이퍼와 매니폴드 사이의 반응 가스를 한정하고, 반응 효율 및 증착 속도를 증가시키고, 상기 웨이퍼의 표면에 대한 재료의 증착을 한정하는 것을 보조한다. 단계 300과 305 이전에, 상기 웨이퍼는 전형적으로 상기 기판 표면에 능동 소자를 형성하기 위해 다수의 공정 단계를 거치게 된다.
상기 웨이퍼가 바람직하게 위치될 때, 상기 웨이퍼와 서셉터는 400 내지 500℃의 온도로 가열되고(단계 310), 처리 가스가 상기 매니폴드(11)로부터 챔버내로 유입된다(단계 315). 바람직하게, 상기 웨이퍼와 서셉터는 430 내지 480℃의 온도로 가열된다.
상기 처리 가스는 소스 분배 시스템을 통해 유입되고 가스 혼합 시스템(19)에서 서로 혼합되는 인, 붕소, 실리콘, 산소 및 게르마늄의 소스를 포함한다. 처리 가스가 적당한 가스 공급 라인 및 소스 분배 시스템의 밸브를 통해 가스 혼합 시스템(19)으로 유입되는 개별적인 소스의 속도는 프로세서(34)에 의해 제어된다. 이런 실시예의 바람직한 변형에서, TEPO, TEB, TEOS 및 TMOG(Ge(OCH3)4)는 각각 인, 붕소, 실리콘 및 게르마늄 소스로서 사용된다. 다른 실시예에서, TMOG(Ge(OC2H5)4)가 게르마늄 소스로서 사용될 수 있고, TMPⅰ이 인 함유 소스로서 사용될 수 있으며, TMB가 붕소 함유 소스로서 사용될 수 있다. TEPO 또는 TEPⅰ의 사용은 TMPⅰ의 사용이 TEPO 또는 TMPⅰ를 사용할 때보다 더 큰 비율의 부적당한 P2O3 분자를 가지는 도핑된 실리콘 격자 구조를 초래하기 때문에 인 소스를 위한 TEPⅰ의 사용에 바람직하다고 믿어진다. 또한 당업자는 다른 실리콘, 붕소 및 게르마늄 소스가 사용될 수 있다는 것을 인식할 것이다.
TEOS, TEB, TEPO 및 TMOG는 모두 일반적 보일러형 또는 버블러형 핫 박스에 의해 또는 바람직하게 액체 주입 시스템에 의해 기상화되는 액체 소스이다. 액체 주입 시스템은 상기 가스 혼합 시스템에 유입되는 반응 가스의 용량을 보다 쉽게 제어하도록 한다. 다음에 상기 기상화된 가스는 상기 가스 분배 매니폴드로 운반되기 전에 헬륨 캐리어 가스와 함께 상기 가스 혼합 시스템에서 혼합된다. 이를테면 O2, N2O 등의 산소 혼합물이 산소 함유 소스로서 사용될 수 있다.
상기 챔버가 200 ㎜ 기판을 위해 디자인되는 웨이퍼이런 바람직한 변형에서, TEPO는 약 10-100 mgm의 속도로 유입되고, 바람직하게 약 15-40 mgm의 속도로 유입된다. TEB는 10-500 mgm의 속도로 유입되고, 바람직하게 약 5-100 mgm의 속도로 유입된다. TEOS는 약 500-1200 mgm의 속도로 유입되고 바람직하게 약 600-800 mgm의 속도로 유입되며, TMOG는 10-100 mgm의 속도로 유입되고 바람직하게 약 15-40mgm의 속도로 유입된다.
상기 헬륨 캐리어 가스는 약 700-1000 sccm의 선택된 흐름 속도로 상기 가스 혼합 시스템에 유입되고, 바람직하게 800-1000 sccm의 선택된 흐름 속도로 유입된다. 상기 산소 가스의 흐름 속도는 약 500-1500 sccm, 바람직하게 약 700-1000 sccm이다. TMOG:TEOS의 비율은 약 0.0125-0.25:1이다. 상기 처리 가스의 전체 가스 흐름 속도는 약 1200-2500 sccm이다.
상기 반응 챔버의 약 1-20 torr의 선택된 압력이 설정되고 진공 시스템과 공동으로 도로틀 밸브(32) 및 상기 처리 가스의 유입에 의해 완전한 증착이 유지된다(단계 320). 바람직하게, 상기 챔버의 압력은 약 5-16 torr의 선택된 압력으로 설정되어 유지된다. 공정 조건이 설정된 후, 프라즈마는 Ge-BPSG 박막을 증착하기 위해 단일 또는 혼합 주파수 RF 전력 공급을 사용하여 형성된다(단계 325). 상기 전력 공급은 0-1200 와트 정도의 13.56 KHz 고주파수 및 약 0-500 와트 정도의 350 KHz 저주파수에서 구동된다. 사용된 실제 전력은 요구되는 플라즈마 밀도를 달성하도록 선택되어야 하며, 다른 챔버가 사용된다면 챔버의 크기에 따라 크기가 정해져야 한다.
상기 Ge-BPSG의 증착후, 상기 웨이퍼는 질소 또는 유사한 인렛 환경에서 막이 30-40분 동안 약 800 ℃의 온도에서 재흐름되는 퍼니스(Furnace)로 운반될 수 있다.
전술된 가스 흐름, 챔버 압력 및 온도 범위는 약 4000-5000 Å/분의 속도로 증착되는 Ge-BPSG 막을 위해 제공된다. 상기 막은 3-5 wt%의 붕소 농도 레벨, 3-6 wt%의 인 농도 레벨 및 3-6 wt%의 게르마늄 농도 레벨를 가진다. 상기 막의 굴절 지수는 약 1.45이고, 약 1 퍼센트의 막 두께 균일도를 가진다.
상기 증착막은 기하학적 요철에 채워지는 반면 상당한 평면 표면을 제공하는 매우 균일한 실리콘 이산화물 코팅이다. 상기 막은 약 -0.1*10-8 내지 1.0*10-8 다인/cm2의 스트레스 레벨, 대략 4.0의 유전 상수 및 양호한 접착 특성을 가진다.
B. 대기압 보다 낮은 화학 기상 증착(SACVD : subatmosphere CVD)
본 발명의 다른 실시예에서, Ge-도핑된 BPSG층의 증착은 200 mm 웨이퍼에 맞게 준비된 SACVD 공정 장치에서 수행된다.
이런 실시예의 Ge-BPSG 층을 형성하기 위하여, 상기 웨이퍼는 진공 록 도어를 통해 진공 챔버(15)내에서 서셉터(12) 상에 로딩된다(단계 400). 다음에 서셉터(12)가 처리 위치(14)까지 이동되어(단계 405), 상기 웨이퍼가 상기 가스분배 매니폴드로부터 배출되는 반응 가스의 지배를 받는다.
상기 웨이퍼는 일반적으로 처리 위치(14)에서 가스 분배 매니폴드(11)로부터 1 센티미터가 못되게 위치되고, 상기 웨이퍼는 상기 가스 분배 매니폴드로부터 약 230-275 밀 사이에 있다. 이런 공간은 웨이퍼와 매니폴드 사이에 처리 가스를 가두어 반응 효율 및 증착 속도를 증가시키고 웨이퍼 표면에 대한 재료의 증착을 한정한다. 단계(400과 405) 이전에, 상기 웨이퍼는 일반적으로 전술된 바와 같이 다수의 공정 단계를 거치게 된다.
상기 웨이퍼가 적절히 위치될 때, 상기 웨이퍼와 서셉터는 400 내지 500℃의 온도에서 가열되고(단계 410), 처리 가스가 상기 매니폴드로부터 유입된다(단계 415). 바람직하게, 상기 웨이퍼와 서셉터는 450 내지 480℃의 온도로 가열된다.
상기 처리 가스는 소스 분배 시스템으로부터 유입되어 가스 혼합 시스템(19)에서 서로 혼합되는 인 함유 소스. 붕소 함유 소스, 실리콘 함유 소스, 산소 함유 소스 및 게르마늄 함유 소스를 포함한다.
각각의 소스가 가스 혼합 시스템(19)에 유입되는 속도는 적당한 가스 공급 라인과 소스 분배 시스템의 밸브를 통해 프로세서(34)에 의해 제어된다. 이런 실시예의 바람직한 변형에서, TEPO, TEB, TEOS, 오존 및 TMOG는 인. 붕소, 실리콘, 산소 및 게르마늄 소스로서 각각 사용된다. TEPO는 TMPⅰ가 TMPⅰ흐름 속도의 적당한 공정 제어를 방해하는 오존과 쉽게 반응하기 때문에 인 소스로서 TMPⅰ에 적당하다. 또한 TEPO는 TEPⅰ와 오존의 반응이 인 함유 분자의 대략 0.5 wt%가 매우 불안정한 P2O3 분자인 도핑된 실리콘 격자 구조를 형성하기 때문에 TEPⅰ에 적당하다. 다른 실시예에서, TMB 또는 유사한 소스가 붕소 함유 소스로서 사용될 수 있고, 다른 실리콘, 산소 또는 게르마늄 소스가 사용될 수 있다.
TEOS, TEB, TEPO 및 TMOG는 모두 일반적 보일러형 도는 버블러형 핫 박스 또는 바람직하게 액체 주입 시스템에 의해 기상화될 수 있는 액체 소스이다. 액체 주입 시스템은 가스 혼합 시스템내에 유입되는 반응 가스의 더 용이한 용량 조절을 제공한다. 다음에 상기 기상화된 가스는 상기 가스 분배 매니폴드로 운반되기 전에 헬륨 캐리어 가스와 함께 상기 가스 혼합 시스템에서 혼합된다. 산소/오존 혼합물은 산소 함유 소스로서 사용된다.
이런 실시예에서, 상기 기상화된 TEOS, TEB, TEPO 및 TMOG 소스는 선택된 흐름의 속도로 상기 가스 혼합 시스템내로 유입된다. TEOS는 약 200-600 mgm의 속도로 유입되고, 바람직하게 약 400-500 mgm의 속도로 유입된다. TEB는 50-300 mgm의 속도로 유입되고, 바람직하게 약 200-280 mgm의 속도로 유입된다. TEPO는 약 40-90 mgm의 속도, 바람직하게 약 50-75 mgm의 속도로 유입되며, TMOG는 30-90 mgm의 속도, 바람직하게 약 50-75 mgm의 속도로 유입된다.
상기 헬륨 캐리어 가스는 약 2000-8000 sccm의 선택된 흐름 속도로 상기 가스 혼합 시스템에 유입되고, 바람직하게 4000-6000 sccm의 선택된 흐름 속도로 유입된다. 상기 오존 가스의 흐름 속도는 약 2000-6000 sccm, 바람직하게 약 3000-5000 sccm이다. 오존/산소의 혼합물은 2-14% 중량 오존을 포함하고 바람직하게 8-12% 중량 오존을 포함한다. TMOG:TEOS의 비율은 약 0.05-0.45:1이다. 상기 처리 챔버내로의 전체 가스 흐름 속도는 약 7.5-11.5 slm이다.
상기 반응 챔버내의 약 100-600 torr의 선택된 압력이 설정되고 진공 시스템과 공동으로 도로틀 밸브(32) 및 상기 처리 가스의 유입에 의해 완전한 증착이 유지된다(단계 420). 바람직하게, 상기 챔버의 압력은 약 200-250 torr의 선택된 압력으로 설정되어 유지된다.
상기 BPSG의 증착후, 상기 웨이퍼는 질소 또는 유사한 인렛 환경에서 막이 30-40분 동안 약 700-800 ℃의 온도에서 재흐름되는 퍼니스(Furnace)로 운반될 수 있다.
전술된 가스 흐름, 챔버 압력 및 온도 범위는 약 4000-5000 Å/분의 속도로 증착되는 Ge-BPSG 막을 위해 제공된다. 상기 막은 3-5 wt%의 붕소 농도 레벨, 3-6 wt%의 인 농도 레벨 및 3-6 wt%의 게르마늄 농도 레벨를 가진다. 상기 막의 굴절 지수는 약 1.45이고, 약 1 퍼센트의 막 두께 균일도를 가진다.
상기 증착막은 기하학적 요철에 채워지는 반면 상당한 평면 표면을 제공하는 매우 균일한 실리콘 이산화물 코팅이다. 상기 막은 약 -0.1*10-8 내지 1.0*10-8 다인/cm2의 스트레스 레벨, 대략 4.0의 유전 상수, 뛰어난 가스 충전 특성 및 양호한 접착 특성을 가진다.
Ⅲ. 바람직한 구조
도 2는 본 발명에 따른 집적 회로(200)의 간략화된 단면도를 도시한다. 도시된 바와 같이, 집적 회로(200)는 필드 산화막 영역(209)에 의해 분리된 NMOS와 PMOS 트랜지스터(203과 206)를 포함한다. 각각의 트랜지스터(203과 206)는 필드 산화막 영역(220)에 의해 서로 전기적으로 절연된다.
금속전 유전체층(221)은 콘택트(224)에 의해 형성되는 금속층(M1)과 트랜지스터 사이의 접속을 갖는 금속층(M1)으로부터 트랜지스터(203과 206)를 분리시킨다. 금속층(M1)은 집적회로에 포함된 4개의 금속층(M1-M4) 중 하나이다. 각각의 금속층(M1-M4)은 개별 금속간 유전체층(IMD1,IMD2 또는 IMD3)에 의해 서로 분리된다. 인접한 금속층은 비아(226)에 의해 선택된 개구에서 접속된다. 증착된 상부 금속층(M4)은 평탄화된 보호막 층(230)이다.
본 발명의 상기 유전층은 집적회로(200)에 도시된 유전층의 각각에 사용된다는 것을 알 수 있지만, 바람직한 실시예의 SACVD 또는 PECVD 공정에 의해 허용되는 상당히 낮은 증착 온도에 따른 낮은 재흐름 온도, 낮은 스트레스, 양호한 접착 특성, 낮은 유전상수, 및 높은 증착 속도와 같은 물리적 특성은 PMD층(221)에 의해 도시된 바와 같이 기판과 금속층(M1) 사이의 절연층으로서 가장 유용하도록 한다.
간략화된 집적 회로(200)는 예시적 목적을 위한 것이라는 것으로 이해해야 할 것이다. 당업자는 마이크로프로세서, 주문형 집적회로(ASICS : application specific IC), 메모리 소자 등과 같은 다른 집적 회로의 제조를 위해 본 방법을 수행할 수 있을 것이다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
본 발명을 이용함으로써 낮은 유전 상수, 높은 안정성, 낮은 스트레스, 좋은 커버리지 및 좋은 접착 특성을 가지는 유전체층을 제공하여 종래 기술의 문제점을 해결할수있다.

Claims (36)

  1. 처리 챔버내의 기판 상에 산화 실리콘층을 증착하기 위한 방법에 있어서, (a) 기판 처리 챔버내에 붕소, 인, 실리콘, 산소 및 게르마늄을 포함하는 처리 가스를 유입하는 단계; 및
    (b) 상기 챔버내에 있는 기판 상에 게르마늄 도핑된 붕소 인 실리케이트 글라스(BPSG) 층을 증착하기 위해 상기 처리 가스로부터 플라즈마를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서, 상기 처리 챔버내의 압력을 약 1-20 torr로 설정하고 유지하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 2항에 있어서, 상기 기판의 상부 표면 근처의 온도를 약 400-500 ℃까지 가열하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 3항에 있어서, 상기 게르마늄 도핑된 BPSG층은 분당 약 400 옹스트롬 이상의 속도로 증착되는 것을 특징으로 하는 방법.
  5. 제 4항에 있어서, 상기 처리 가스 중의 인은 트리에틸포스페이트(TEPO) 또는 트리메틸포스피트(TMPⅰ)로부터 형성되는 것을 특징으로 하는 방법.
  6. 제 5항에 있어서, 상기 플라즈마는 약 13.56 MHz의 주파수에서 1200 와트 미만의 RF 전력으로 형성되는 것을 특징으로 하는 방법.
  7. 제 1항에 있어서, 상기 처리 가스 중의 인은 트리에틸포스페이트(TEPO) 또는 트리메틸포스피트(TMPⅰ)로부터 형성되며,
    (a) 상기 처리 챔버내의 압력을 약 5-16 torr로 설정하고 유지하는 단계;
    (b) 상기 기판의 상부 표면 근처의 온도를 약 430-480 ℃까지 가열하는 단계; 및
    (c) 약 13.56 MHz의 주파수에서 약 1200 와트 미만의 RF 전력으로부터 상기 플라즈마를 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 7항에 있어서,
    상기 게르마늄 도핑된 BPSG 층은 분당 약 4000 옹스트롬 이상의 속도로 증착되는 것을 특징으로 하는 방법.
  9. 제 8항에 있어서, 상기 처리 가스 중의 실리콘은 테트라에쓰옥시실란(TEOS)으로 형성되는 것을 특징으로 하는 방법.
  10. 제 9항에 있어서, 상기 처리 가스 중의 게르마늄은 테트라에쓰옥시 게르마늄(TEOG) 또는 테트라메틸옥시게르마늄(TMOG)로 형성되는 것을 특징으로 하는 방법.
  11. 제 10항에 있어서, 상기 처리 가스 중의 붕소는 트리에틸보레이트(TEB)로부터 형성되는 것을 특징으로 하는 방법.
  12. 제 1항의 방법에 따라 증착된 층을 가지는 집적 회로.
  13. 처리 챔버내의 기판 상에 산화 실리콘 층을 증착하기 위한 방법에 있어서,
    (a) 기판 처리 챔버내에 붕소, 인, 게르마늄, 테트라에틸옥시실란(TEOS) 및 오존을 포함하는 처리 가스를 유입하는 단계;
    (b) 상기 처리 챔버내의 압력을 약 100-600 torr의 선택된 압력으로 설정하고 유지하는 단계; 및
    (c) 상기 기판 상에 게르마늄 도핑된 붕소 인 실리케이트 글라스를 증착하기 위해 약 400-500 ℃의 온도까지 상기 챔버에있는 기판의 상부 표면을 가열하는 단계를 포함하는 것을 특징으로 하는 방법.
  14. 제 13항에 있어서, 상기 게르마늄 도핑된 BPSG층은 분당 약 400 옹스트롬 이상의 속도로 증착되는 것을 특징으로 하는 방법.
  15. 제 13항에 있어서, 상기 처리 가스는 트리에틸포스페이트(TEPO)를 포함하는 것을 특징으로 하는 방법.
  16. 제 15항에 있어서,
    (a) 상기 처리 챔버내의 압력을 약 20-250 torr로 설정하고 유지하는 단계; 및
    (b) 상기 기판의 상부 표면 근처의 온도를 약 450-480 ℃까지 가열하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  17. 제 13항의 방법에 따라 증착되는 층을 가지는 집적 회로.
  18. 진공 챔버를 형성하기 위한 하우징;
    상기 하우징내에 배치되어 기판을 홀딩하기 위한 기판 홀더;
    상기 기판 상에 층을 증착하기 위하여 상기 진공 챔버에 처리 가스를 유입하기 위한 가스 분배기;
    상기 가스 분배기에 결합되어 상기 처리 가스를 형성하기 위해 혼합되는 다수의 가스를 혼합하는 가스 혼합 영역;
    상기 가스 혼합 영역에 결합되어 상기 가스 혼합 챔버내에 다수의 가스를 유입하기 위한 가스 분배 시스템;
    상기 기판을 가열하기 위한 히터;
    상기 진공 챔버를 가압하기 위한 진공 시스템;
    상기 처리 가스로부터 플라즈마를 형성하기 위해 공급되는 RF 전력 공급;
    상기 가스 분배 시스템, 상기 히터, 상기 RF 전력 공급 및 상기 진공 시스템을 제어하기 위한 제어기; 및
    상기 제어기에 결합되고, 상기 화학 기상 증착 반응 시스템의 작동을 지시하기 위해 구현되는 컴퓨터 판독 프로그램을 가지는 컴퓨터 판독 매체를 구비하는 메모리를 포함하는데,
    상기 컴퓨터 판독 프로그램은,
    상기 기판을 약 400-500 ℃의 온도까지 가열하기 위해 상기 히터를 제어하기 위한 제 1 세트의 컴퓨터 명령;
    상기 진공 챔버를 약 1-20 torr의 압력으로 설정하고 유지하기 위해 상기 진공 시스템을 제어하기 위한 제 2 세트의 컴퓨터 명령;
    상기 가스 혼합 챔버내에 실리콘, 산소, 붕소, 인 및 게르마늄을 포함하는 상기 가스를 유입하기 위해 상기 가스 분배 시스템을 제어하기 위한 제 3 세트의 컴퓨터 명령; 및
    상기 플라즈마를 형성하기 위해 상기 RF 전력 공급을 제어하기 위한 제 4 세트의 컴퓨터 명령을 포함하는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  19. 제 18항에 있어서, 상기 RF 전력 공급은 고주파수 및 저주파수 컴포넌트를 가지는 혼성 주파수 전력 공급이며, 상기 제 4 세트의 명령은 약 100-1000 와트에서 상기 RF 전력 공급의 상기 고주파수 컴포넌트를 작동시키고 약 20-450 와트에서 상기 RF 전력 공급의 상기 저주파수 컴포넌트를 작동시키는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  20. 제 19항에 있어서, 상기 제 4 세트의 명령은 약 13.56 MHz의 주파수로 상기 RF 전력 공급의 고주파수 컴포넌트를 구동하고, 약 350 KHz의 주파수로 상기 RF 전력 공급의 저주파수 컴포넌트를 구동하는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  21. 제 18항에 있어서, 상기 인은 트리에틸포스페이트(TEPO) 또는 트리메틸포스피트(TMPⅰ)의 형태로 상기 소스 분배 시스템으로부터 상기 가스 혼합 챔버내로 유입되는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  22. 제 21항에 있어서,
    상기 층은 적어도 분당 약 4000 옹스트롬의 속도로 상기 기판 상에 증착되는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  23. 진공 챔버를 형성하기 위한 하우징;
    상기 하우징내에 배치되어 기판을 홀딩하기 위한 기판 홀더;
    상기 기판 상에 층을 증착하기 위하여 상기 진공 챔버내에 처리 가스를 유입하기 위한 가스 분배기;
    상기 가스 분배기에 결합되어 상기 처리 가스를 형성하기 위하여 혼합되는 다수의 가스를 혼합하기 위한 가스 혼합 영역;
    상기 가스 혼합 영역에 결합되어 상기 가스 혼합 챔버내에 다수의 가스를 유입하기 위한 가스 분배 시스템;
    상기 기판을 가열하기 위한 히터;
    상기 진공 챔버를 가압하기 위한 진공 시스템;
    상기 가스 분배 시스템, 상기 히터 및 상기 진공 시스템을 제어하기 위한 제어기; 및
    상기 제어기에 결합되고, 상기 화학 기상 증착 반응 시스템의 작동을 지시하기 위해 구현되는 컴퓨터 판독 프로그램을 가지는 컴퓨터 판독 매체를 구비하는 메모리를 포함하는데,
    상기 컴퓨터 판독 프로그램은,
    약 400-500 ℃의 온도까지 상기 기판을 가열하기 위해 상기 히터를 제어하기 위한 제 1 세트의 컴퓨터 명령;
    약 100-600 torr의 압력으로 상기 진공 챔버를 설정하고 유지하기 위해 상기 진공 시스템을 제어하기 위한 제 2 세트의 컴퓨터 명령; 및
    상기 가스 혼합 챔버내에 실리콘, 산소, 붕소, 인 및 게르마늄을 포함하는 상기 가스를 유입하기 위해 상기 가스 분배 시스템을 제어하기 위한 제 3 세트의 컴퓨터 명령을 포함하는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  24. 제 23항에 있어서, 상기 인은 트리에틸포스페이트(TEPO) 또는 트리메틸포스피트(TMPⅰ)의 형태로 상기 소스 분배 시스템으로부터 상기 가스 혼합 챔버내로 유입되는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  25. 제 24항에 있어서,
    상기 층은 적어도 분당 약 4000 옹스트롬의 속도로 상기 기판 상에 증착되는 것을 특징으로 하는 화학 기상 증착 반응 시스템.
  26. 반도체 기판 상에 형성되는 집적 회로에 있어서,
    (a) 상기 기판내에 형성된 다수의 능동 소자;
    (b) 상기 기판에 걸쳐 형성되는 금속층;
    (c) 상기 금속층의 선택된 부분을 상기 다수의 능동 소자에 대한 선택된 영역에 전기적으로 접속시키기 위해 도전 금속으로 채워지는 다수의 패턴화된 홀을 가지고, 적어도 분당 4000 옹스트롬의 속도로 증착되는 상기 기판과 상기 금속층 사이에 형성되는 3.0-5.0 중량 퍼센트 붕소, 3.0-6.0 중량 퍼센트 인 및 3.0-6.0 중량 퍼센트 게르마늄을 가지는 게르마늄 도핑된 붕소 인 실리케이트 글라스막을 구비하는 절연층을 포함하는 것을 특징으로 하는 집적 회로.
  27. 제 26항에 있어서, 상기 절연층은 5-16 torr의 압력으로 유지되는 처리 챔버내에서 실리콘, 붕소, 인, 산소 및 게르마늄을 포함하는 처리 가스의 플라즈마 반응에 의해 증착되며, 400-500 ℃의 온도로 가열되는 것을 특징으로 하는 집적 회로.
  28. 제 26항에 있어서, 상기 절연층은 100-600 torr의압력으로 유지되는 처리 챔버에서 실리콘, 붕소, 인, 산소 및 게르마늄을 포함하는 처리 가스의 열반응에 의해 증착되고, 400-500 ℃의 온도로 가열되는 것을 특징으로 하는 집적 회로.
  29. (a) 처리 챔버;
    (b) 상기 처리 챔버에 처리 가스를 운반하도록 구성되는 가스 운반 시스템;
    (c) 상기 처리 가스로부터 플라즈마를 형성하도록 구성되는 플라즈마 발생 시스템;
    (d) 상기 가스 운반 시스템 및 상기 플라즈마 발생 시스템을 제어하도록 구성되는 제어기; 및
    (e) 상기 제어기에 결합되고, 상기 기판 처리 장치의 작동을 지시하기 위해 구현된 컴퓨터 판독 프로그램을 가지는 컴퓨터 판독 매체를 구비하는 메모리를 포함하는데,
    상기 컴퓨터 판독 프로그램은,
    (ⅰ) 붕소,인, 실리콘, 산소 및 게르마늄을 포함하는 처리 가스가 상기 기판 처리 챔버에 유입하도록 상기 가스 운반 시스템을 제어하기 위한 제 1 세트의 컴퓨터 명령; 및
    (ⅱ) 상기 챔버에 있는 기판 상에 게르마늄 도핑된 붕소 인 실리케이트 글라스(BPSG) 층을 증착하기 위해 상기 처리 가스로부터 플라즈마를 형성하도록 상기 플라즈마 발생 시스템을 제어하기 위한 제 2 세트의 컴퓨터 명령을 포함하는 것을 특징으로 하는 기판 처리 장치.
  30. 제 29항에 있어서, 상기 챔버내의 선택된 압력을 설정하고 유지하도록 구성되는 진공 시스템을 더 포함하고, 상기 진공 시스템과 상기 컴퓨터 판독 프로그램을 제어하도록 구성되는 상기 제어기는 약 1-20 torr로 상기 챔버내의 압력을 유지하도록 상기 진공 시스템을 제어하기 위한 제 3 세트의 컴퓨터 명령을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  31. 제 30항에 있어서, 상기 챔버를 선택된 온도까지 가열하도록 기 위해 구성되는 가열 시스템을 더 포함하고, 상기 제어기는 상기 가열 시스템의 작동을 제어하기 위해 구성되며, 상기 컴퓨터 판독 프로그램은 약 400-500 ℃의 온도까지 상기 기판의 상부 표면을 가열하도록 상기 가열 시스템을 제어하기 위한 제 4 세트의 컴퓨터 명령을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  32. 제 31항에 있어서,
    상기 게르마늄 도핑된 BPSG층이 적어도 분당 약 400 옹스트롬 이상의 속도로 증착되도록 상기 컴퓨터 판독 명령은 상기 분배 시스템, 상기 가열 시스템 및 상기 진공 시스템을 제어하는 것을 특징으로 하는 기판 처리 장치.
  33. (a) 처리 챔버;
    (b) 상기 처리 챔버에 처리 가스를 운반하도록 구성되는 가스 운반 시스템;
    (c) 선택된 온도까지 상기 챔버를 가열하도록 구성되는 가열 시스템;
    (d) 상기 챔버내의 선택된 압력을 설정하고 유지하도록 구성되는 진공 시스템;
    (e) 상기 가스 운반 시스템, 상기 진공 시스템 및 상기 가열 시스템을 제어하도록 구성되는 제어기; 및
    (f) 상기 제어기에 결합되어 상기 기판 처리 장치의 작동을 지시하기 위해 구현되는 컴퓨터 판독 프로그램을 가지는 컴퓨터 판독 매체를 구비하는 메모리를 포함하는데,
    상기 컴퓨터 판독 프로그램은,
    (ⅰ) 붕소, 인, 게르마늄, 테트라에틸옥시실란(TEOS) 및 오존을 포함하는 처리 가스가 상기 챔버내에 유입하도록 상기 가스 운반 시스템을 제어하기 위한 제 1 세트의 컴퓨터 명령;
    (ⅱ) 상기 챔버내의 약 100-600 torr의 압력을 설정하고 유지하도록 상기 진공 시스템을 제어하기 위한 제 2 세트의 컴퓨터 명령; 및
    (ⅲ) 상기 기판 상에 게르마늄 도핑된 붕소 인 실리케이트 글라스 층을 증착하도록 약 400-500 ℃의 온도까지 상기 챔버에 있는 기판의 상부 표면을 가열하도록 상기 가열 시스템을 제어하기 위한 제 3 세트의 컴퓨터 명령을 포함하는 것을 특징으로 하는 기판 처리 장치.
  34. 제 33항에 있어서,
    상기 게르마늄 도핑된 BPSG 층이 분당 약 4000 옹스트롬 이상의 속도로 증착되도록 상기 컴퓨터 판독 명령은 상기 가스 분배 시스템, 상기 가열 시스템 및 상기 진공 시스템을 제어하는 것을 특징으로 하는 기판 처리 장치.
  35. 제 33항에 있어서,
    상기 가스 운반 시스템은 상기 챔버내에 트리에틸포스페이트(TEPO)를 포함하는 것을 특징으로 하는 기판 처리 장치.
  36. 제 33항에 있어서,
    상기 제 2 세트의 컴퓨터 명령은 약 200-250 torr로 상기 처리 챔버내의 압력을 설정하고 유지하도록 상기 진공 시스템을 제어하고, 상기 제 3 세트의 컴퓨터 명령은 약 450-480 ℃의 온도까지 상기 기판의 상부 표면을 가열하도록 상기 히터를 제어하는 것을 특징으로 하는 기판 처리 장치.
KR1019970004344A 1996-02-14 1997-02-14 게르마늄도핑된bpsg박막형성방법및그장치 KR100292456B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/601,558 1996-02-14
US08/601,558 US5648175A (en) 1996-02-14 1996-02-14 Chemical vapor deposition reactor system and integrated circuit

Publications (2)

Publication Number Publication Date
KR970063444A KR970063444A (ko) 1997-09-12
KR100292456B1 true KR100292456B1 (ko) 2002-02-01

Family

ID=24407960

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970004344A KR100292456B1 (ko) 1996-02-14 1997-02-14 게르마늄도핑된bpsg박막형성방법및그장치

Country Status (3)

Country Link
US (1) US5648175A (ko)
JP (1) JPH09312290A (ko)
KR (1) KR100292456B1 (ko)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US6345589B1 (en) * 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
US5709772A (en) * 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
KR100203134B1 (ko) * 1996-06-27 1999-06-15 김영환 반도체 소자의 층간절연막 평탄화방법
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6153540A (en) * 1998-03-04 2000-11-28 Applied Materials, Inc. Method of forming phosphosilicate glass having a high wet-etch rate
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6360685B1 (en) * 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6117791A (en) * 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6875371B1 (en) 1998-06-22 2005-04-05 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US7173339B1 (en) 1998-06-22 2007-02-06 Micron Technology, Inc. Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
US6197703B1 (en) * 1998-08-17 2001-03-06 Advanced Micro Devices, Inc. Apparatus and method for manufacturing semiconductors using low dielectric constant materials
US6274479B1 (en) * 1998-08-21 2001-08-14 Micron Technology, Inc Flowable germanium doped silicate glass for use as a spacer oxide
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6365489B1 (en) * 1999-06-15 2002-04-02 Micron Technology, Inc. Creation of subresolution features via flow characteristics
JP2001007102A (ja) * 1999-06-17 2001-01-12 Mitsubishi Electric Corp 半導体形成方法および半導体製造装置
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JPWO2002033741A1 (ja) * 2000-10-18 2004-02-26 ソニー株式会社 絶縁膜の成膜方法および半導体装置の製造方法
US20020092824A1 (en) * 2001-01-18 2002-07-18 Sun C. Jacob Low loss optical waveguide device
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US7160746B2 (en) * 2001-07-27 2007-01-09 Lightwave Microsystems Corporation GeBPSG top clad for a planar lightwave circuit
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US7023059B1 (en) * 2004-03-01 2006-04-04 Advanced Micro Devices, Inc. Trenches to reduce lateral silicide growth in integrated circuit technology
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
JP4366226B2 (ja) * 2004-03-30 2009-11-18 東北パイオニア株式会社 有機elパネルの製造方法、有機elパネルの成膜装置
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
JP4680619B2 (ja) * 2005-02-09 2011-05-11 株式会社アルバック プラズマ成膜装置
US7189639B2 (en) * 2005-02-10 2007-03-13 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7470638B2 (en) * 2006-02-22 2008-12-30 Micron Technology, Inc. Systems and methods for manipulating liquid films on semiconductor substrates
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP2008124408A (ja) * 2006-11-16 2008-05-29 Sony Corp 薄膜半導体装置の製造方法
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2009006272A1 (en) 2007-06-28 2009-01-08 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US7883745B2 (en) 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
CN101451237B (zh) 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4799992A (en) * 1985-10-31 1989-01-24 Texas Instruments Incorporated Interlevel dielectric fabrication process
US5114530A (en) * 1985-10-31 1992-05-19 Texas Instruments Incorporated Interlevel dielectric process
JPH0782999B2 (ja) * 1991-04-15 1995-09-06 株式会社半導体プロセス研究所 気相成長膜の形成方法、半導体製造装置、および半 導体装置
CA2104591C (en) * 1991-12-26 2000-03-14 David A. Russo Composition for coating glass containing an accelerant

Also Published As

Publication number Publication date
KR970063444A (ko) 1997-09-12
JPH09312290A (ja) 1997-12-02
US5648175A (en) 1997-07-15

Similar Documents

Publication Publication Date Title
KR100292456B1 (ko) 게르마늄도핑된bpsg박막형성방법및그장치
US6009827A (en) Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7888273B1 (en) Density gradient-free gap fill
US6703321B2 (en) Low thermal budget solution for PMD application using sacvd layer
US7589028B1 (en) Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7802538B2 (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
JP4990299B2 (ja) Hdp−cvdpsg膜の形成方法および装置
KR100300177B1 (ko) 할로겐도핑된산화실리콘막의막안정성개선을위한방법및그장치
US6099647A (en) Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US8481403B1 (en) Flowable film dielectric gap fill process
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR100538137B1 (ko) 고온처리챔버용덮개어셈블리
EP0572704B1 (en) Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
US7482245B1 (en) Stress profile modulation in STI gap fill
US20060068599A1 (en) Methods of forming a thin layer for a semiconductor device and apparatus for performing the same
US20030032282A1 (en) Barrier layer deposition using HDP-CVD
US6345589B1 (en) Method and apparatus for forming a borophosphosilicate film
WO2007140376A2 (en) A method for depositing and curing low-k films for gapfill and conformal film applications
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
KR20030020567A (ko) 수소화된 SiOC 박막 제조방법
US7273525B2 (en) Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US6289843B1 (en) Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6599574B1 (en) Method and apparatus for forming a dielectric film using helium as a carrier gas
US6436303B1 (en) Film removal employing a remote plasma source

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090121

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee