KR100273602B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR100273602B1
KR100273602B1 KR1019920018969A KR920018969A KR100273602B1 KR 100273602 B1 KR100273602 B1 KR 100273602B1 KR 1019920018969 A KR1019920018969 A KR 1019920018969A KR 920018969 A KR920018969 A KR 920018969A KR 100273602 B1 KR100273602 B1 KR 100273602B1
Authority
KR
South Korea
Prior art keywords
gas
reaction chamber
wafer
process space
process gas
Prior art date
Application number
KR1019920018969A
Other languages
English (en)
Other versions
KR930008959A (ko
Inventor
잔비세르
Original Assignee
요트.게.아. 롤페즈
코닌클리케 필립스 일렉트로닉스 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 요트.게.아. 롤페즈, 코닌클리케 필립스 일렉트로닉스 엔.브이. filed Critical 요트.게.아. 롤페즈
Publication of KR930008959A publication Critical patent/KR930008959A/ko
Application granted granted Critical
Publication of KR100273602B1 publication Critical patent/KR100273602B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

본 발명의 반도체 장치 제조 방법에 따르면, 펌프(2)에 의해 저압으로 유지된 반응 챔버(1)에서 공정 가스(5)로부터 반도체 웨이퍼(4)의 표면상에 물질층(6)을 증착하되, 반응 챔버(1)내에서 웨이퍼(4)를 가스 살포판(7)과 나란하게 배치하여, 반응 챔버(1)에 대해 주변 개방 연결구(9)를 갖는 플레이너 공정 공간(8)을 형성한 후, 가스 살포판(7)내의 유입구(10)들을 통해 공정 공간(8)으로 공정 가스(5)를 유입시키는 동안, 개방 연결구(9) 주위에서는 반응 챔버(1)내로 보조 가스(11)를 유입시킨다. 본 발명에 따르면, 보조 가스(11)는, 개방 연결구(9)의 가스 압력이 공정 공간(8)내의 가스 압력과 사실상 동일해지도록 반응 챔버(1)내로 유입된다. 본 발명에 따른 방법은 공정 공간(8)내의 공정 가스(5)가 사실상 이동하지 않도록 함으로써, “정체 층(stagnant layer)”이 형성된다. 이 때, 공정 공간(8)내의 공정 가스(5)는 층(6)을 형성하는데 사실상 모두 사용되므로, 소량의 공정 가스(5)가 사용될 수 있다. 더욱이 정체된 공정 가스(5)로 인하여 더욱 균일한 층(6)이 얻어진다.

Description

반도체 장치의 제조 방법
제1도는 본 발명에 따른 방법에 의해 반도체 장치를 제조하는 시스템의 개략도.
제2도는 본 발명에 따른 방법의 실시예에서 사용되는 것으로, 시스템의 공정 공간과 반응 챔버 사이의 개방 연결구의 상세도.
제3도는 개방 연결구 주위를 둘러싸고 있는 튜브를 통해 보조 가스가 반응 챔버 내부로 주입되도록 구성된, 본 발명에 따른 방법에 의해 반도체 장치를 제조하는 시스템의 개략도.
* 도면의 주요부분에 대한 부호의 설명
1 : 챔버 2 : 펌프
3 : 표면 4 : 웨이퍼
5 : 공정 가스 6 : 물질층
7 : 살포판 8 : 공정 공간
9 : 개방 연결구 10 : 유입구들
11 : 보조 가스 13 : 링
14 : 연장부 18 : 튜브
본 발명은, 펌프에 의해 저압으로 유지된 반응 챔버에서 공정 가스로부터 반도체 웨이퍼 표면상에 물질층을 증착시킴으로써 반도체 장치를 제조하는 방법에 관한 것으로, 본 발명의 방법에 따르면, 반응 챔버내에서 웨이퍼를 가스 살포판에 평행하게 배치하여, 플레이너 공정 공간(a planar process space)을 형성하되, 이 플레이너 공정 공간의 주변부에는 반응 챔버에 대한 개방 연결구(a circumferentialopen connection)가 있도록 형성되고, 그 후, 가스 살포판내의 유입구들(inletopenings)을 통해 공정 공간으로 공정 가스를 유입시키는 동안, 개방 연결구의 주변에서는 반응 챔버내로 보조 가스를 유입시키도록 되어 있다.
소위 화학적 기상 증착법(Chemical Vapor Deposition:이하 CVD라 칭함)이라고 불리우는 방법에 의해, 예를 들면, 다결성 실리콘, 실리콘 산화물, 실리콘 질화물 등의 층들 뿐만 아니라 텅스텐(tungsten), 텅스텐 실리사이드(tungstensilicide), 티타늄 실리사이드(titanium silicide), 티타늄 질화물 등의 층들도 증착될 수 있다. 공정 가스는 한가지 성분의 가스일 수도 있으나, 흔히 혼합 가스가 이용된다. 전술한 층들은, 예컨대, 실란(silane) 가스, 테트라에톡시실란(TEOS)가스, 디클로로실란(dichlorosilane)과 암모니아(ammonia)의 혼합 가스, 텅스턴 헥사플루오라이드(tungsten hexafluoride)와 수소(또는 실란)의 혼합 가스, 티타늄디클로로라이드(titaniumdichloride)와 디클로로실란의 혼합 가스, 테트라디메틸아미노티타늄(tetradimethyl aminotitanium)과 암모니아의 혼합 가스 등을 공정 가스로 이용하여 각각 증착될 수 있다.
유럽 특허 공개번호 제 272140호는 전술한 유형의 반도체 제조 방법을 개시하고 있는데, 이 방법에 따르면, 공정 가스가 반도체 웨이퍼와 가스 살포판 사이의 공정 공간으로 유입된 다음, 이 공정 가스는 반응 챔버내에서 웨이퍼상에 흐르도록 되어 있다. 그 후, 반응 챔버내에서 흐르는 공정 가스로부터 물질층이 증착된다.
공정 공간과 반응 챔버 사이의 개방 연결구 주변으로 유입되는 보조 가스는 예컨대 헬륨이나 질소이며, 공정 공간으로부터 반응 챔버내로 흐르는 잔류 가스를 희석하여 반응 챔버내에서 물질 증착이 발생하지 않도록 하는 것을 목적으로 한다.
전술된 공지의 방법은 실제로 공급된 공정 가스의 1/10 정도만이 증착 물질로 변환되는 단점이 있다. 따라서, 이용된 공정 가스의 9/10는 사용되지 않고 펌프에 의해 제거된다. 공정 가스는 보조 가스와, 공정 공간내에 형성된 다른 가스들과 혼합되므로, 이 공정 가스는 더 이상의 이용이 불가능하여 버려야 한다. 이것은 예컨대 텅스텐 헥사플루오라이드(tungsten hexafluoride), 테트라디메틸아미노티타늄(tetradimethyl aminotitanium) 등과 같은 값비싼 가스들이나, 또는 예컨대 실란, 암모니아 등과 같은 유독 가스들이 사용될 때 특히 바람직하지 않는 상황이다.
본 발명은 특히 이러한 문제점을 해결하는 것을 목적으로 한다.
본 발명의 방법에 따르면, 개방 연결구의 가스 압력과 공정 공간내의 가스압력이 사실상 동일해지도록 보조 가스를 반응 챔버내로 유입시키는 것을 특징으로 한다. 이렇게 함으로써, 공정 공간내의 공정 가스는 사실상 정체(stagnant)된다. 공정 공간내의 공정 가스는 사실상 층 형성에 완전히 사용될 수 있으므로, 비교적 소량의 공정 가스가 사용되고, 그로 인해 공정 가스 자체의 비용이나 배기 가스의 정화 비용이 상당히 낮아진다. 또한, 반응 챔버로 들어가는 공정 가스가 사실상 없으므로, 챔버는 증착 물질이나 발생가능한 물질의 유리 입자(loose particles)에 의해 오염되지 않는다. 공정 공간내에는 실제로 압력차가 없으므로 증착된 층은 더 균일한 두께를 가질 것이다.
본 발명은, 정체된 매체내에서의 물질 이동이 확산을 통해 발생할 수 있다는 인식에 근거하고 있다. 화학 반응이 웨이퍼의 표면상에서 발생한다. 이러한 반응에서, 공정 가스는 표면상에 층으로서 증착되는 물질과, 이후에 제거될 기상 반응 생성물(gaseous reaction products)로 변환된다. 이러한 변환 때문에 공정 가스의 농도는 웨이퍼 표면 근처에서 낮아질 것이고, 따라서 공정 가스의 농도가 높은 공정 공간내의 다른 곳으로부터 웨이퍼 표면으로 확산을 통한 공정 가스의 이동이 발생할 것이다. 웨이퍼 표면의 부근에서의 기상 반응 생성물의 농도는 공정 공간의 다른 곳이나 개방 연결구의 기상 반응 생성물의 농도보다 더 높을 것이므로, 표면으로부터 이러한 공정 공간내의 다른 곳이나 개방 연결구로 기상 반응 생성물의 이동이 발생할 것이다. 기상 반응 생성물은 개방 연결구에서 반응 챔버로 유입되어 펌프로 배출된다. 따라서, 개방 연결구에서의 기상 반응 생성물의 농도는 공정 공간내의 기상 반응 생성물의 농도보다 낮을 것이므로, 공정 공간내의 기상 반응 생성물은 확산을 통해 개방 연결구쪽으로 배출될 것이다.
웨이퍼의 외연부(outer edge)에서, 공정 가스는 유입구들로부터 두 방향 즉, 웨이퍼의 표면쪽과 개방 연결구쪽으로 확산될 수 있으므로, 사실상 이 두 위치에서의 공정 가스 농도는 비교적 낮다. 결국 웨이퍼의 외연부에서의 공정 가스 농도는 웨이퍼의 중앙부보다 다소 낮을 수 있을 것이며, 웨이퍼의 외연부에서는 물질이 다소 덜 증착될 수 있다. 바람직하게, 본 발명에 따른 방법은, 웨이퍼와 바로 인접하며 이 웨이퍼의 주변 및 그 바깥쪽으로 돌출되어 있는 링(a ring)을 사용하는데, 이 링은, 가스 살포판과 함께 공정 공간의 연장부(the prolongation)를 형성하는 것을 특징으로 한다. 이러한 연장부로 인하여, 공정 공간과 반응 챔버 사이의 개방 연결구는 웨이퍼의 외연부로부터 훨씬 더 멀리 떨어지게 되어, 더 많은 공정 가스가 웨이퍼쪽으로 확산된다. 바람직하게는, 공정 공간의 연장부는 가스 살포판과 웨이퍼 사이의 거리보다 더 큰 길이를 갖는다. 이로써, 웨이퍼의 외연부 부근의 유입구들로부터 연장부를 통해 개방 연결구쪽으로 확산하는 공정 가스는 웨이퍼로 직접 확산하는 공정 가스보다 더 긴 경로를 이동해야만 한다. 이 때, 더 많은 공정 가스가 웨이퍼의 외연부에 존재하므로, 증착되는 층의 두께는 더욱 균일할 것이다. 바람직하게는, 링이 웨이퍼에 대해 홀더(holder)로서 이용되어, 기계적으로 간단한 구조가 달성된다.
바람직하게는, 유입구들이 균일하게 분포되어 있는 가스 살포판을 이용하여 공정 가스를 공급한다. 유입구들로부터 웨이퍼 표면으로의 공정 가스 확산에 있어서 그 차이들(differences)은 평균된다. 이 때 물질층은 소정 개수의 유입구에 근거하여 가장 균일한 두께를 가질 것이다.
원칙적으로, 보조 가스는 임의의 위치에서 반응 챔버로 유입될 수 있을 것이다. 바람직하게는, 개방 연결구를 둘러싸며 그 주변의 도처에 개구들을 갖는 튜브를 통하여 반응 챔버내로 보조 가스를 유입시킨다. 따라서, 개방 연결구 주위의 압력을 균일하게 할 수 있는 한편, 본 발명의 방법은 통상의 가스 살포판을 이용해 간단히 구현될 수 있다.
이하, 첨부된 도면을 참조하여 본 발명을 상세히 설명한다. 첨부 도면들은 단순히 개략적인 것으로, 동일 축척으로 그려지지 않았다. 도면들에서 대응하는 부분들은 일반적으로 동일한 참조 번호들을 부여하였다.
제1도는 반도체 장치의 제조 방법을 실행하는 시스템을 개략적으로 나타내는 것으로, 이 방법에 따르면, 반응 챔버(1)내에서 반도체 웨이퍼(4)의 표면(3) 상에 공정 가스로부터 물질(6)을 증착되며, 그 반응 챔버(1)는 펌프(6)에 의해 저압으로 유지되어 있다. 이러한 방법을 CVD라 칭한다. 도시된 이 장치는, 예를 들면, 반도체나 금속, 또는 이 반도체나 금속의 산화물이나 실리사이드, 또는 탄화물, 혹은 질화물의 층들을 제공하도록 설계되어 있다. 공정 가스는 한가지 성분의 가스일 수도 있으나, 흔히 혼합 가스가 이용된다. 따라서, 티타늄 질화물이 테트라디메틸아미노티타늄과 암모니아의 혼합 가스로부터 증착된다. 본 발명의 방법에 따르면, 반응 챔버(1)내에서 웨이퍼(4)는 가스 살포판(7)과 평행하게 배치되므로, 플레이너 공정 공간(8)이 형성되되, 이 플레이너 공정 공간의 주변부에는 반응 챔버에 대한 개방 연결구(9)가 구비되어 있다. 그 후, 공정 가스(5)가 가스 살포판(7)내의 유입구들(10)을 통해 공정 공간(8)으로 유입되는 동안, 개방 연결구(9)의 주변에서는 반응 챔버(1)내로 보조 가스(11)가 유입된다.
전술한 과정 동안, 반응 챔버(1) 내부의 압력은 0.1과 200[Torr] 사이의 값을 갖는다. 이러한 플레이너 공정 공간(8)은, 소정 직경(예컨대 17cm)을 갖는 원형의 가스 살포판(7)으로부터 약 0.5 내지 1 [cm]의 간격을 두고 반도체 웨이퍼(4)를 위치시킴으로써 형성된다. 이 때, 반도체 웨이퍼의 직경은 가스 살포판의 직경보다 약간 작은데, 예를 들면, 약 15 [cml 이다. 가스 살포판(7)에는 예를 들면 약 0.75 [mm]의 직경을 갖는 3400개의 유입구들이 구비되는데, 이 유입구들은 웨이퍼(4)에 대향하여 가스 살포판의 표면 전반에 걸쳐 분포되어 있어서, 이른바 “샤워 헤드(shower head)”를 형성하고 있다. 작용 가스(5)는 유입구들(10)을 통해 공정 공간(8)으로 유입된다. 여러가지 공정 가스들이 사용될 경우, 각각의 가스들이 별개의 유입구들(10)을 통해 공급될 것이다. 수단(12)는 개방 연결구(9) 주위에 보조 가스(11)을 제공하기 위해 사용된다. 이 수단(12)은 약 100개의 공급 홀들(supply holes)로 이루어지며, 이 공급 홀들은 가스 살포판(7)의 주변 전반에 분포되어 있다. 다음, 보조 가스(1)는 펌프(2)에 의해 제거된다. 보조 가스(11)는 공정 공간(8)으로부터 배출되는 공정 가스(5)를 희석함으로써 반응 챔버(1)내에서 웨이퍼(4) 외부에는 물질 증착이 발생하지 않도록 한다.
공정 가스(5)가 반도체 웨이퍼(4) 위로 물러서 이 공정 가스의 약 1/10만이 층(6)을 형성하는데 이용되는 공정은 공지된 것이다. 따라서, 이러한 공정 가스(5)의 9/10는 사용되지 않고 공정 공간(8)의 개방 연결구(9)를 통해 펌프(2)쪽으로 배출된다. 이 배출된 공정 가스는 반응 챔버내에서 보조 가스(11)와, 공정 공간내에 형성된 기상 반응 생성물과 혼합되므로, 이 가스는 더 이상의 사용이 불가능하여 버려야 한다. 이러한 상황은 예컨대 텅스텐 멕사플루오라이드(tungsten hexafluoride), 테트라디메틸아미노티타늄(tetradimethyl aminotitanium) 등과 같은 값비싼 가스들이나 또는 예컨대 실란, 암모니아 등과 같은 유독 가스들이 사용될 때 특히 바람직하지 않다. 또한, 비교적 대량의 공정 가스(5)가 반응 챔버(1)에 들어가므로, 보조 가스(11)에 의한 희석에도 불구하고 이 반응 챔버(1)내에서 물질 분자들의 구성에 관한 문제가 발생할 수도 있다. 더구나, 공정 가스(5)는 가스 압력이 위치적으로 변하기 때문에 흐르게 되는 것이다. 결국, 층(6)은 완전히 균일한 두께를 가질 수 없을 것이다.
본 발명에 따르면, 보조 가스(11)는 개방 연결구의 가스 압력과 공정 공간내의 가스 압력이 사실상 같아지도록 반응 챔버내로 유입된다. 이 때, 공정 공간(8)내의 공정 가스(5)는 사실상 이동이 없게 되므로, 이른바 “정체 층(stagnantlayer)”을 형성하게 된다. 그러면 공정 가스(5)는 사실상 층(6)을 형성하는데 완전히 사용될 수 있으므로, 비교적 소량의 공정 가스(5)가 사용되고, 그로 인해 공정 가스 자체의 비용이나 배기 가스의 정화 비용이 상당히 낮아진다. 또한, 반응 챔버(1)로 들어가는 공정 가스(5)가 사실상 없으므로, 챔버는 입자들에 의해 오염되지 않는다. 공정 공간(8)내에는 실제로 압력차가 없으므로, 층(6)은 균일한 두께를 가질 것이다. 공정 가스(5)의 이동과 기상 반응 생성물의 제거는 확산을 통해 발생한다. 플레이너 형상의 공정 공간(8)과 유입구들(10)의 위치로 인해, 공정 가스(5)는 웨이퍼(4)의 표면(3)을 향해 확산되기 위해 비교적 짧은 거리만을 이동하면 된다. 기상 반응 생성물은 개방 연결구(9)로 확산되고, 여기에서 보조 가스(11)와 희석되어, 펌프(2) 쪽으로 배출된다.
웨이퍼(4)의 외연부(outer edge)에서, 공정 가스(5)는 유입구들(10)로부터 두 방향 즉, 웨이퍼(4)의 표면(3)쪽과, 플레이너 공정 공간(8)의 개방 연결구(9)쪽으로 확산될 수 있다. 이것은 공정 가스의 농도가 이 두 위치에서 비교적 낮기 때문이다. 제2도는, 웨이퍼(4)에 대향하며 이 웨이퍼의 주변으로부터 바깥쪽으로 돌출되어 있어 가스 살포판(7)과 함께 공정 공간(8)의 연장부(an extension)(14)를 형성하는 링(a ring)(13)이 어떻게 이용되는지를 보여준다. 이 연장부(14)의 덕분으로, 반응 챔버(1)에 대해 공정 공간(8)의 개방 연결구(9)는 웨이퍼(4)의 외연부로부터 더 멀리 위치하게 된다. 바람직하게는, 공정 공간의 연장부(14)가 가스 살포판(7)과 웨이퍼(4) 사이의 거리보다 더 큰 길이를 갖는다. 이로써, 웨이퍼(4)의 외연부 부근의 유입구들(10)로부터 웨이퍼의 외연부쪽으로 충분한 공정 가스(5)가 확산되어, 증착된 층(6)의 두께는 더욱 균일해진다. 바람직하게는, 연장부(14)내에는 공정 가스(5)를 위한 유입구들(10)은 존재하지 않거나 혹은 웨이퍼(4)의 외연부 부근에 몇 개만이 존재한다. 이런 식으로, 소량의 공정 가스(5)가 개방 연결구(7)를 통해 펌프(2)로 배출된다. 바람직하게는, 링(13)이 전술한 기능뿐만 아니라 웨이퍼를 지지하는 기능도 함께 수행하도록 함으로써, 기계적으로 간단한 구조가 달성된다.
유입구들(10)로부터 웨이퍼(4)의 표면(3)으로의 확산은 본 발명에 따른 방법에서 중요한 역할을 수행한다. 이것은 공지의 방법과는 대조적인데, 공지의 방법에서는, 공정 가스(5)가 웨이퍼(4)상에 흐르고 이러한 공정 가스(5)의 흐름 특성(flow characteristics)상의 차이 때문에 각각의 증착 공정마다 그에 맞는 가스 살포판(5)이 요구되었다. 바람직하게는, 균일하게 분포된 유입구들(10)을 갖는 가스 살포판(7)이 본 발명에 따른 방법에서 공정 가스(5)를 제공하기 위해 사용된다. 이런 방식으로, 물질층(6)은 소정 갯수의 유입구들(10)로 인해 가장 균일한 두께를 가질 것이다.
원칙적으로, 보조 가스(11)는 임의의 위치에서 반응 챔버(1)로 유입될 수 있는데, 예를 들면, 가스 살포판(7)의 개구들(12)을 통해 유입될 수 있다(제1도 및 제2도 참조). 바람직하게는, 개방 연결구를 둘러싸며 그 주변의 도처에 보조 가스(11)용 개구들이 제공되어 있는 튜브(18)를 통하여 반응 챔버내로 보조 가스(11)를 유입시킨다(제3도 참조). 이런 방식으로, 개방 연결구(9) 주위에는 균일한 압력이 얻어지는 한편, 본 발명의 방법은 통상의 가스 살포판(7)을 이용해 간단히 구현될 수 있다.
[실시예 1]
[텅스텐 층의 증착]
팅스텐 헥사플루오로라이드(WF6)와 수소(H2)를 포함하는 공정 가스(5)로부터 텅스텐 층(6)을 증착한다. 이러한 증착은 H2에 의한 WF6의 환원에 근거한다.
WF6(가스) + 3H2(가스) → W(고체) + 6HF(가스)
이 때 W는 물질층(6)을 형성하며, HF는 기상 반응 생성물로서 배출된다. 직경이 17 [cm]인 가스 살포판(7)이 사용되는데, 이 가스 살포판(7)는 3400개의 유입구들을 갖는다. 이러한 유입구들은 두 그룹으로 나누어지는데, 각 그룹의 유입구들을 통해 상이한 공정 가스가 공정 공간으로 유입된다. 약 15 [cm] 정도의 직경과 약 200 [cm2] 정도의 표면적을 갖는 반도체 웨이퍼(4)가 1 [cm]의 두께를 갖는 링(13)위에 장착되는데, 이 링(13)은 가스 살포판(7)으로부터 0.5 [cm]의 간격으로 떨어져 있다. 1 [cm]의 직경을 갖는 튜브(18)가 웨이퍼(4)의 외연부로부터 2 [cm] 간격으로 개방 연결구(9) 주위에 준비된다. 이 튜브에는 보조 가스(11)인 아르곤(argon) 가스를 공급하기 위한 100개의 공급 개구들(19)이 구비되어 있다. 공정 공간에서, 15 [sccm]의 WF6와 100 [sccm]의 H2를 두 그룹의 유입구들(10)을 통해 공급한다. WF6의 W로의 환원은 공정 공간의 가스 압력이 10 [torr]일 때 430℃에서 발생한다. 이러한 10 [Torr]의 가스 압력은, 튜브(18)의 공급 개구들(19)을 통해 아르곤을 공급함으로써 개방 연결구(9)에서 유지된다. 이 때, 반도체 웨이퍼(4)상에는 텅스턴이 600 [nm/sec]으로 증착된다. 반응 챔버로부터 배출된 보조 가스(11)는 사실상 WF6으로 오염되지 않는 한편, WF6의 소모량은 공지의 방법에서 소모되는 양의 약 10% 수준이다.

Claims (5)

  1. 반응 챔버내에서 가스 살포판과 평행하게 웨이퍼를 배치하여, 플레이너 공정 공간(a planar process space)을 형성하되, 이 플레이너 공정 공간의 주변부에는 반응 챔버에 대한 개방 연결구(a circumferential open connection)가 있도록 형성되고, 그 후, 가스 살포판내의 유입구들(inlet openings)을 통해 공정 공간으로 공정 가스를 유입시키는 동안, 개방 연결구의 주변에서는 반응 챔버내로 보조 가스를 유입시킴으로써, 펌프에 의해 저압으로 유지된 반응 챔버내에서 공정 가스로부터 반도체 웨이퍼 표면상에 물질층을 증착하여 반도체 장치를 제조하는 방법에 있어서, 상기 보조 가스는, 상기 개방 연결구의 가스 압력과 상기 공정 공간내의 가압력이 사실상 동일해지도록 상기 반응 챔버내로 유입되고, 상기 웨이퍼와 바로 인접하며 상기 웨이퍼의 주변 및 그 바깥쪽으로 돌출되어 있는 링(a ring)을 이용하여, 상기 가스 살포판과 함께 상기 공정 공간의 연장부(a prolongation)를 형성하는 것을 특징으로 하는 반도체 장치 제조 방법.
  2. 제1항에 있어서, 상기 공정 공간의 상기 연장부는 상기 가스 살포판과 상기 웨이퍼 사이의 거리보다 더 큰 길이를 갖는 것을 특징으로 하는 반도체 장치 제조 방법.
  3. 제2항에 있어서, 상기 링이 상기 웨이퍼의 홀더(a holder)로서도 이용되는 것을 특징으로 하는 반도체 장치 제조 방법.
  4. 제1항에 있어서, 상기 공정 가스를 공급하기 위해, 균일하게 분포된 유입구들을 갖는 가스 살포판이 사용되는 것을 특징으로 하는 반도체 장치 제조 방법.
  5. 제1항에 있어서, 상기 보조 가스는, 상기 개방 연결구의 주위를 둘러싸며 그 주변 도처에 개구들이 제공되어 있는 튜브(a tube)를 통해 상기 반응 챔버내로 유입되는 것을 특징으로 하는 반도체 장치 제조 방법.
KR1019920018969A 1991-10-18 1992-10-15 반도체 장치의 제조 방법 KR100273602B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP91202701.8 1991-10-18
EP91202701 1991-10-18

Publications (2)

Publication Number Publication Date
KR930008959A KR930008959A (ko) 1993-05-22
KR100273602B1 true KR100273602B1 (ko) 2001-01-15

Family

ID=8207953

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920018969A KR100273602B1 (ko) 1991-10-18 1992-10-15 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (1) US5747362A (ko)
EP (1) EP0537854B1 (ko)
JP (1) JP2760717B2 (ko)
KR (1) KR100273602B1 (ko)
DE (1) DE69222110T2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
US6226418B1 (en) 1997-11-07 2001-05-01 Washington University Rapid convolution based large deformation image matching via landmark and volume imagery
US6009212A (en) 1996-07-10 1999-12-28 Washington University Method and apparatus for image registration
US6408107B1 (en) 1996-07-10 2002-06-18 Michael I. Miller Rapid convolution based large deformation image matching via landmark and volume imagery
US6611630B1 (en) 1996-07-10 2003-08-26 Washington University Method and apparatus for automatic shape characterization
WO1998045501A1 (en) * 1997-04-10 1998-10-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and a device for applying such a method
US5970499A (en) 1997-04-11 1999-10-19 Smith; Kurt R. Method and apparatus for producing and accessing composite data
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100299914B1 (ko) * 1998-11-03 2001-10-29 윤종용 반도체디바이스제조방법
DE19851824C2 (de) * 1998-11-10 2002-04-04 Infineon Technologies Ag CVD-Reaktor
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
EP1988188B9 (en) * 2001-12-03 2012-05-02 Ulvac, Inc. Apparatus and method for producing films
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7166199B2 (en) * 2002-12-18 2007-01-23 Cardinal Cg Company Magnetron sputtering systems including anodic gas distribution systems
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7850828B2 (en) * 2006-09-15 2010-12-14 Cardinal Cg Company Enhanced virtual anode
GB201021870D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
EP3872838A1 (en) 2015-02-03 2021-09-01 Cardinal CG Company Magnetron sputtering apparatus and method of operating the same
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
CN106011793B (zh) * 2016-07-26 2019-07-26 山西中科潞安紫外光电科技有限公司 气盘及气体反应设备
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01129974A (ja) * 1987-11-13 1989-05-23 Fujitsu Ltd 化学気相成長装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3621812A (en) * 1969-06-18 1971-11-23 Texas Instruments Inc Epitaxial deposition reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JP2573493B2 (ja) * 1987-07-23 1997-01-22 株式会社フジクラ 物理量の測定方法
JPS6429974A (en) * 1987-07-24 1989-01-31 Nec Corp Document displaying method
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01129974A (ja) * 1987-11-13 1989-05-23 Fujitsu Ltd 化学気相成長装置

Also Published As

Publication number Publication date
EP0537854B1 (en) 1997-09-10
JPH05218002A (ja) 1993-08-27
KR930008959A (ko) 1993-05-22
US5747362A (en) 1998-05-05
JP2760717B2 (ja) 1998-06-04
DE69222110T2 (de) 1998-03-05
DE69222110D1 (de) 1997-10-16
EP0537854A1 (en) 1993-04-21

Similar Documents

Publication Publication Date Title
KR100273602B1 (ko) 반도체 장치의 제조 방법
US6645884B1 (en) Method of forming a silicon nitride layer on a substrate
US7695563B2 (en) Pulsed deposition process for tungsten nucleation
KR920007343B1 (ko) 반도체제조장치
JP4232105B2 (ja) 原子層堆積方法
US6306776B1 (en) Catalytic breakdown of reactant gases in chemical vapor deposition
KR950012635A (ko) 디클로로실란 가스를 사용하여 반도체 웨이퍼상에 규화 텅스텐층을 형성하기 위한 방법 및 장치
US6932866B2 (en) Method for depositing in particular crystalline layers
US4781945A (en) Process for the formation of phosphosilicate glass coating
JPH02234419A (ja) プラズマ電極
US20220115227A1 (en) Semiconductor structure preparation process and semiconductor structure
CN109868459B (zh) 一种半导体设备
US4548159A (en) Chemical vapor deposition wafer boat
JP3015710B2 (ja) 半導体製造方法
JP3076268B2 (ja) 減圧気相成長装置
JP3415491B2 (ja) シリコン窒化膜の成膜方法
JP2002343792A (ja) 膜形成方法及び装置
JPH06163426A (ja) 化学気相成長方法
KR200155045Y1 (ko) 반도체소자 제조용 증착장비의 반응챔버 구조
KR20010076521A (ko) 화학 기상 증착 장치
KR200157137Y1 (ko) 반도체 제조용 화학기상증착장치
JPH0729833A (ja) 反応ガス供給ポート
JPH05326420A (ja) 成膜装置
CN117344287A (zh) 薄膜的形成方法及形成装置
KR970030477A (ko) 실리콘 질화막 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030828

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee