JPS62142791A - Vacuum treatment device - Google Patents

Vacuum treatment device

Info

Publication number
JPS62142791A
JPS62142791A JP28472485A JP28472485A JPS62142791A JP S62142791 A JPS62142791 A JP S62142791A JP 28472485 A JP28472485 A JP 28472485A JP 28472485 A JP28472485 A JP 28472485A JP S62142791 A JPS62142791 A JP S62142791A
Authority
JP
Japan
Prior art keywords
vacuum
chamber
substrate
processing
preliminary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP28472485A
Other languages
Japanese (ja)
Other versions
JPS6345467B2 (en
Inventor
Katsuzo Ukai
鵜飼 勝三
Tsutomu Tsukada
勉 塚田
Toshio Adachi
安達 俊男
Koji Ikeda
浩二 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Priority to JP28472485A priority Critical patent/JPS62142791A/en
Publication of JPS62142791A publication Critical patent/JPS62142791A/en
Publication of JPS6345467B2 publication Critical patent/JPS6345467B2/ja
Granted legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE:To prevent the contamination of a vacuum treatment chamber and to make efficient vacuum treatment of many kinds of substrates by providing a preliminary vacuum chamber for carrying in and out of the substrates and vacuum treatment chamber to the wall surface of a vacuum conveying chamber and providing a vacuum evacuation system and substrate conveying or moving mechanism to each of the respective chambers. CONSTITUTION:This vacuum treatment device consists of the preliminary vacuum chamber 2 which carrier the substrates into said chamber from atm. and ejects the substrates subjected to the vacuum treatment to the outside, the treatment chamber 1 provided with electrodes 16, 25 and the vacuum conveying chamber 52 provided with the substrate conveying mechanism 48. The vacuum evacuation systems are provided to the respective chambers of the above-mentioned device to prevent the contamination of the treatment chamber 1 from the atm. The chambers 1 and 2 are freely attachably and detachably provided into installation holes 6, 7 on the wall surface of the chamber 52 so as to easily deal with the change of the substrate treatment mode. The movement of the substrates 18a, 18b between the treatment chamber 1 and the preliminary vacuum chamber 2 as well as the positions in the route for conveying the substrates is efficiently executed by the substrate moving mechanism consisting of lifts 21, 37.

Description

【発明の詳細な説明】 (産業上の利用分野) この発明は、真空中で基板の表面処理を行うための真空
処理装置に関するものである。
DETAILED DESCRIPTION OF THE INVENTION (Field of Industrial Application) The present invention relates to a vacuum processing apparatus for surface processing a substrate in vacuum.

(従来の技術) この種の真空処理装置としてプラズマを用いた表面処理
装置が周知であり、とりわけ半導flk N子製造工程
では、対応性ガスプラズマを利用したドライエツチング
装置が広く什及している。
(Prior Art) Surface treatment equipment using plasma is well known as this type of vacuum processing equipment, and dry etching equipment using compatible gas plasma is widely used, especially in the semiconductor flk N device manufacturing process. There is.

これらのドライエンチング装置としては第3し1から第
5図に示すものがよくf重用されている。
As these dry enching devices, those shown in FIGS. 3-1 to 5 are often used.

第3図に示す装置は、基板の着脱時νこ処理窒1を毎回
大気にさらし、基板18と装着した後、真空処理を行う
ハツチ処理形式の装:なである。
The apparatus shown in FIG. 3 is a hatch processing type apparatus in which the nitrogen treatment 1 is exposed to the atmosphere every time the substrate is attached or detached, and after being attached to the substrate 18, vacuum treatment is performed.

第4図に示す装置は、処理室1にバルブ51bを介して
予備真空室2aを設け、大気中に配置された基板ホルタ
3から基板18を一枚づつバルブ5 ]、 aを開いて
予備真空室2aに導き、さらにこの予備真空室2aから
バルブ51bを介して処理室lに搬送し、この処理室1
で所期の基板処理をした後、さらにバルブ51cおよび
池の真空予皓室2bを通し、バルブ51dを開いて再び
大気中に取り出すもので、いわゆる真空気密機構を有す
る処理装置である。
In the apparatus shown in FIG. 4, a pre-vacuum chamber 2a is provided in the processing chamber 1 via a valve 51b, and the substrates 18 are transferred one by one from the substrate holter 3 placed in the atmosphere by opening the valve 5 and the pre-vacuum chamber 2a. from the preliminary vacuum chamber 2a to the processing chamber 1 via the valve 51b.
After performing the intended substrate processing, the substrate is passed through a valve 51c and a pond vacuum pre-processing chamber 2b, and is then taken out into the atmosphere again by opening a valve 51d, which is a processing apparatus having a so-called vacuum-tight mechanism.

第5図に示す装置は、基板18が収納されている基板ホ
ルダ3ごと大容積の予備真空室2aに導入し、基板を処
理室1と該予備真空室2aとの間に設置された基板搬送
室4を介して処理室1に搬送し、この処理室1で基板を
処理した後、再び基板搬送室4を通って他の基板ホルダ
3が用意された大容積の予備−C空室2bに基板を回収
し、その後この回収した基板を大気中に取り出す処理形
態の装置である。なお、図中において、51e乃至51
iはバルブを示している。
The apparatus shown in FIG. 5 introduces the substrate 18 together with the substrate holder 3 into a large-volume preliminary vacuum chamber 2a, and transfers the substrate to a substrate transfer chamber installed between the processing chamber 1 and the preliminary vacuum chamber 2a. After the substrate is transferred to the processing chamber 1 through the chamber 4 and processed in the processing chamber 1, it is transferred again through the substrate transfer chamber 4 to the large-volume reserve-C empty chamber 2b where another substrate holder 3 is prepared. This is a processing type device that collects substrates and then takes out the collected substrates into the atmosphere. In addition, in the figure, 51e to 51
i indicates a valve.

(発明が解決しようとする問題点) しかしながら、この種の各装置において、前記第3図に
示す装置では、処理室1内に基板を出入する際にこの処
理室1内に大気が入り込んで、処理室1の内壁に大気中
の1−120などが吸着し、再度処理室1を真空に保持
し、基板処理を行う段1’jlで、この吸着ガス(特に
I4□O)が放出され、エツチング性能の再現性が著し
く阻害されるという問題がある。
(Problems to be Solved by the Invention) However, in each of these types of apparatuses, in the apparatus shown in FIG. 1-120 and the like in the atmosphere are adsorbed on the inner wall of the processing chamber 1, and this adsorbed gas (particularly I4□O) is released in stage 1'jl, where the processing chamber 1 is held in vacuum again and the substrate is processed. There is a problem in that the reproducibility of etching performance is significantly impaired.

次に、前記第4図に示す装置においては、基板を処理室
1に出入する際には予備真空室2aおよび同2bを介し
て行われるので、処理室1への大気の進入は前記第3図
の装置に較べかなり防止できる。しかし、短時間、例え
ば2〜3分間以内で処理室1における基板の真空処理と
処理基板の収り出しおよび未処理基板の導入との繰返し
サイ、クルを実施する場合には、基板18の入口と出口
が別々に設けられている等のため処理室1の気密が十分
でなく、このため、前記第3図に示す装置の事理形態と
同様吸着カスによる悪影響が生じて安定な基板処理性能
(エツチング性(its )が得られない。一方、第5
図に示す処理形態に関しては、予備真空室2aおよび同
2b内に複数の基板を導入又は回収する方式であるから
、予備真空室2aおまひ同2b内の容積が大きくなり、
このために板の出入後手(1;n真空室2aおよび同2
bを真空排気するに際し、予備真空室2aおよび同2b
の壁面からの吸着ガスの放出量が著しく増大する(ガス
放出量は真空室内の表面積に比例し、大気開放時間に反
比例する)。このため、ガス放出量の悪影響か無視でき
る程度になるまで真空排気を行うには真空排気開始後2
0〜30分を要する。かかる長時間の真空排気を不可欠
とすることは真空処理装置の処理能力の阻害要因となる
Next, in the apparatus shown in FIG. 4, since the substrate is moved into and out of the processing chamber 1 through the preliminary vacuum chambers 2a and 2b, the entry of the atmosphere into the processing chamber 1 is limited to the third vacuum chamber. This can be prevented considerably compared to the device shown in the figure. However, if a cycle of vacuum processing of the substrate in the processing chamber 1, removal of the processed substrate, and introduction of the unprocessed substrate is repeated for a short time, for example, within 2 to 3 minutes, the entrance of the substrate 18 is The processing chamber 1 is not sufficiently airtight due to the separate opening and exit, and as a result, as in the case of the apparatus shown in FIG. Etching properties (its) cannot be obtained.On the other hand, the fifth
Regarding the processing form shown in the figure, since a plurality of substrates are introduced into or recovered from the preliminary vacuum chambers 2a and 2b, the volume of the preliminary vacuum chambers 2a and 2b becomes large.
For this purpose, after entering and exiting the board (1;n vacuum chamber 2a and vacuum chamber 2)
When evacuating room b, the preliminary vacuum chambers 2a and 2b are
The amount of adsorbed gas released from the walls of the vacuum chamber increases significantly (the amount of gas released is proportional to the surface area inside the vacuum chamber and inversely proportional to the time it is open to the atmosphere). For this reason, in order to perform vacuum evacuation until the adverse effect of the amount of gas released is negligible, it is necessary to
It takes 0 to 30 minutes. Requiring evacuation for such a long time becomes a factor that inhibits the throughput of the vacuum processing apparatus.

またこれら第3図から第5図までの真空処理装置におい
て、基板の処理態様を変更す、る場合には処理室1内の
部品等の114成部材や処理室1自木の構造を変更しな
けれはならない場合が生じる。
In addition, in the vacuum processing apparatuses shown in FIGS. 3 to 5, if the processing mode of the substrate is changed, the structure of the 114 components such as parts in the processing chamber 1 and the structure of the own wood in the processing chamber 1 must be changed. There will be times when this is unavoidable.

かかる場合、とりわけ第4図および第5図のように、処
理室1に隣接して予備真空室2aおよび同2bや真空搬
送室4が専用的に設置され、かつ真空中での基板の受は
渡し機構(図示せず)が各室に分散している場合は、搬
送機構や処理室1の変更に際し、複雑かつ面倒な調整作
業をしなければならない、このような調整作業を回避す
る観点から一般に真空処理装置が用途別の専用型となり
がちであり、これが多目的用途への大きな障害となって
いた。
In such a case, especially as shown in FIGS. 4 and 5, preliminary vacuum chambers 2a and 2b and a vacuum transfer chamber 4 are specially installed adjacent to the processing chamber 1, and the substrate is not supported in vacuum. If the transfer mechanism (not shown) is distributed in each chamber, complicated and troublesome adjustment work is required when changing the transport mechanism or processing chamber 1. From the viewpoint of avoiding such adjustment work. In general, vacuum processing equipment tends to be specialized for each purpose, and this has been a major obstacle to multipurpose use.

本発明は上記従来の問題点を解決するためになされたも
のであり、その目的は、大気進入による処理室の汚染を
確実に防止でき、さらに優れた真空排気の処理能力を有
し、かつ基板処理態様の変更に対しても容易に対処でき
る多目的用途に使用可能な真空処理装置を提供すること
にある。
The present invention has been made in order to solve the above-mentioned conventional problems, and its purpose is to reliably prevent contamination of the processing chamber due to air intrusion, to have excellent vacuum evacuation processing ability, and to It is an object of the present invention to provide a vacuum processing apparatus that can be used for multiple purposes and can easily cope with changes in processing modes.

(問題点を解決するための手段) 本発明は上記目的を達成するために次のようにtel!
成されている。すなわち、本発明は、各々真空排気系を
有する予ωn真空室と真空搬送1とタル埋室とを備え大
気中から基板を一枚毎、該予備真空室に挿入し、該基板
を該真空搬送室を経由して該処理室に搬送し、該基板を
該処理室で真空処理したのち、再び該基板を該真空搬送
室を経由して該予備真空室に戻し、該予備真空室より該
基板を大気中に取出す真空処理装置において、前記予備
真空室および処理室は、前記真空搬送室の壁面に形成さ
れた一対の設置穴部に着脱自在に設けられ、該穴部を大
気側から気密に覆う各別の容器部と、真空搬送室の室内
側から該容器部に対向状態で進退移動自在に設けられ、
その進出移動によって該容器部を真空搬送室から隔絶し
て気密な室空間を形成する各別の基板載置部とによって
構成されており、また該基板載置部には、載置される基
板を搬送経路位置に移動する基板移動機構を設けた真空
処理装置である。
(Means for Solving the Problems) In order to achieve the above object, the present invention provides the following tel!
has been completed. That is, the present invention includes a pre-ωn vacuum chamber, a vacuum transfer 1, and a barrel burying chamber, each having a vacuum evacuation system, and inserts substrates one by one from the atmosphere into the pre-vacuum chamber, and transfers the substrates to the vacuum transfer chamber. After the substrate is vacuum-processed in the processing chamber, the substrate is returned to the pre-vacuum chamber via the vacuum transfer chamber, and the substrate is transferred from the pre-vacuum chamber to the pre-vacuum chamber. In a vacuum processing apparatus that takes out a substance into the atmosphere, the preliminary vacuum chamber and the processing chamber are removably provided in a pair of installation holes formed in the wall surface of the vacuum transfer chamber, and the holes are airtight from the atmosphere side. a separate container portion for covering, and a container portion provided so as to be movable forward and backward in a state facing the container portion from the indoor side of the vacuum transfer chamber;
It is composed of separate substrate mounting parts that isolate the container part from the vacuum transfer chamber by advancing and moving to form an airtight chamber space, and the substrate mounting parts include substrates to be placed. This is a vacuum processing apparatus equipped with a substrate moving mechanism that moves the substrate to a transport path position.

(作 用) 上記構成からなる本発明において、基板の真空処理に際
し、予備真空室と真空搬送室と処理室間の基板の出入お
よび搬送動作は次のように行われる。まず、例えば、処
理室および予備真空室の基板載置部を進出移動状態にし
て予備真空室と真空搬送室と処理室とを気密隔絶し、真
空排気系を動作して真空搬送室と処理室を真空排気する
(Function) In the present invention having the above configuration, during vacuum processing of a substrate, the movement of the substrate into and out of the preliminary vacuum chamber, the vacuum transfer chamber, and the processing chamber and the transfer operation are performed as follows. First, for example, the substrate mounting parts of the processing chamber and the pre-vacuum chamber are brought into the advanced movement state to airtightly isolate the pre-vacuum chamber, the vacuum transfer chamber, and the processing chamber, and the vacuum evacuation system is operated to separate the vacuum transfer chamber and the processing chamber. evacuate.

同時に、予備真空室の容器部を収り外し基板載置部に基
板を載置して前記収り外した容器部と気密に装着するに
のようにして、外部から予備真空室への基板導入が完了
する。次に真空排気系を動作させて予備真空室の真空排
気を行い、この予備真空室とすでに真空排気がされてい
る真空搬送室および処理室がそれぞれ所定の真空状態と
なったときに、予備真空室および処理室の各基板:ll
!置部を後退移動する。この後退移動によって真空搬送
室と予備真空室および処理室との隔絶状態が解除される
。次に、基板リフトおよび基板搬送機構を動作させて、
まず予備真空室用の基板載置部に載置されている基板を
基板リフトにより搬送経路位置に移動し、次に、この基
板を基板搬送機構により例えば処理室用の基板載置部の
直上位置へ搬送する。そして、この基板搬送の終了時に
基板リフトを動作させてその基板を処理室の基板載置部
に載置する。次に各基板載置部を進出移動することで予
備真空室と真空搬送室と処理室とは再び気密隔絶状態と
なり、真空処理室内において基板の真空処理が行われる
。これと同時に、予備真空室においては前述したのと同
様の操作により次の基板が導入され、予備真空室内の真
空排気が行われる。次に、処理室内での基板の真空処理
が完了17なときには処理室の基板載置部を後退移動し
、さらに基板リフトを動作して真空処理済みの基板を搬
送経路位置に移動する。
At the same time, the container section of the preliminary vacuum chamber is removed, the substrate is placed on the substrate mounting section, and the substrate is airtightly attached to the container section removed from the chamber, thereby introducing the substrate from the outside into the preliminary vacuum chamber. is completed. Next, the vacuum evacuation system is operated to evacuate the preliminary vacuum chamber, and when this preliminary vacuum chamber and the vacuum transfer chamber and processing chamber, which have already been evacuated, reach the predetermined vacuum state, the preliminary vacuum Each board in the chamber and processing chamber: ll
! Move the storage section backwards. This backward movement releases the isolation state between the vacuum transfer chamber, the preliminary vacuum chamber, and the processing chamber. Next, operate the substrate lift and substrate transport mechanism,
First, the substrate placed on the substrate rest for the preliminary vacuum chamber is moved to the transport path position by a substrate lift, and then this substrate is moved by the substrate transport mechanism to a position directly above the substrate rest for the processing chamber, for example. Transport to. Then, at the end of this substrate transfer, the substrate lift is operated to place the substrate on the substrate platform of the processing chamber. Next, by moving each substrate platform forward, the preliminary vacuum chamber, the vacuum transfer chamber, and the processing chamber are once again airtightly isolated, and the vacuum processing of the substrate is performed within the vacuum processing chamber. At the same time, the next substrate is introduced into the pre-vacuum chamber by the same operation as described above, and the pre-vacuum chamber is evacuated. Next, when the vacuum processing of the substrate in the processing chamber is completed 17, the substrate mounting section of the processing chamber is moved backward, and the substrate lift is further operated to move the vacuum processed substrate to the transport path position.

一方、予備真空室のJS板載置部も予(ni真空室の真
空排気後に後退移動が行われ、かつ基板リフ1〜の動作
により前記予備真空室の基板が搬送経路位置に移動され
ている。この状態で基板搬送機構の搬送動(ヤが行われ
真空処理済みの基板と未処理の基板との位置交換が行わ
れる。次に、基板リフトを動作させてそれぞれの基板を
対応する基板載置部に載置する。この結果、処理室用の
基板載置部には未処理基板が載置され、予備真空室用の
基板載置部には真空処理済みの基板が載置される。
On the other hand, the JS plate mounting part of the preliminary vacuum chamber is also moved backward after evacuation of the preliminary vacuum chamber, and the substrate in the preliminary vacuum chamber is moved to the transfer path position by the operation of substrate lift 1~. In this state, the substrate transfer mechanism performs a transfer operation to exchange the positions of the vacuum-treated substrate and the unprocessed substrate.Next, the substrate lift is operated to place each substrate on the corresponding substrate. As a result, an unprocessed substrate is placed on the substrate placement section for the processing chamber, and a vacuum-processed substrate is placed on the substrate placement section for the preliminary vacuum chamber.

次に、各基板載置部を進出移動することにより、予(+
ii真空室と真空搬送室と処理室とが再び気密隔絶され
、処理室内においては未処理基板の真空処理が行われ、
その一方、予備真空室では容器部の収り外しにより真空
処理済み基板の収り出しおよび外部からの未処理基板の
導入が行われる。
Next, by moving each board mounting section forward,
ii The vacuum chamber, the vacuum transfer chamber, and the processing chamber are again hermetically isolated, and the unprocessed substrate is vacuum-processed in the processing chamber.
On the other hand, in the preliminary vacuum chamber, vacuum-processed substrates are removed and unprocessed substrates are introduced from the outside by removing the container section.

そして、取り外した容器部の再装着を行い、排気系を動
作させて予備真空室の真空排気が行われる。このように
、予備真空室への基板導入から真空処理済み基板の取り
出しに至る一連の手1.1nを繰り返すことにより、次
々に基板の真空処理が達成されるのである。
Then, the removed container section is reattached, and the exhaust system is operated to evacuate the preliminary vacuum chamber. In this way, by repeating the series of steps 1.1n from introducing the substrate into the preliminary vacuum chamber to taking out the vacuum-treated substrate, vacuum processing of the substrates is accomplished one after another.

(実 施 例) 以下、本発明の一実施例を図面に基づいて説明する。第
1図には本発明に係る実施例の断面構成が示され、真空
搬送室52の頂壁5には任意間隔離れた位置に一対の設
置穴6および同7が穿設されている。そして、この設置
穴6を覆うように1−て頂壁5の外側には容器部として
の処理容器8.が着脱自在に収り付けられている。この
処理容器8と前記頂壁5の当接面間にはシール部材9が
介設され処理容器8の内部と外部(大気)との気密が維
持されている。
(Example) Hereinafter, one example of the present invention will be described based on the drawings. FIG. 1 shows a cross-sectional configuration of an embodiment of the present invention, in which a pair of installation holes 6 and 7 are bored in the top wall 5 of the vacuum transfer chamber 52 at arbitrary distances apart. A processing container 8 as a container portion is provided on the outside of the top wall 5 so as to cover the installation hole 6. is stored in a removable manner. A sealing member 9 is interposed between the abutting surfaces of the processing container 8 and the top wall 5 to maintain airtightness between the inside of the processing container 8 and the outside (atmosphere).

一方、設置穴6の下面側には前記処理容器8と対向させ
て処理基板載置部10が設けられている。
On the other hand, a processing substrate mounting section 10 is provided on the lower surface side of the installation hole 6 so as to face the processing container 8 .

この処理基板載置部10は平板状の気密板11と、この
気密板11の上面から起立し前記設置穴6に挿通してい
る筒壁12と、気密板11の下面から垂設されたパイプ
状の駆動軸15とからなる。
The processing substrate mounting section 10 includes a flat airtight plate 11, a cylindrical wall 12 that stands up from the upper surface of the airtight plate 11 and is inserted into the installation hole 6, and a pipe vertically installed from the lower surface of the airtight plate 11. It consists of a drive shaft 15 having a shape.

この駆動軸15は真空搬送室52の底壁13の挿通孔1
4をシール部材42を介して気密に挿通し下外方に突出
している。筒壁12の内部には第1の電fi16が前記
処理基板載置部10の中心孔に嵌合し気密板11に立設
状態で載置されている。第1の電[16の上面には四部
17が形成されており、この凹部を覆うような格好で第
1の電極16の上面に基板18aが載置されている。
This drive shaft 15 is connected to the insertion hole 1 in the bottom wall 13 of the vacuum transfer chamber 52.
4 is hermetically inserted through a sealing member 42 and protrudes downwardly and outwardly. Inside the cylindrical wall 12, a first electric filter 16 is fitted into the center hole of the processing substrate mounting section 10 and placed upright on the airtight plate 11. A four part 17 is formed on the upper surface of the first electrode 16, and a substrate 18a is placed on the upper surface of the first electrode 16 so as to cover the recessed part.

また、四部17には押し上げ板19が収容されており、
この押し上げ板19の中心部からは押し上げ棒20が第
1の電極16の中心孔を貫通して外方に突出している。
Further, a push-up plate 19 is housed in the fourth part 17,
A push-up rod 20 projects outward from the center of the push-up plate 19 through the center hole of the first electrode 16 .

この押し上げ板19と押し上げ棒20とによって処理基
板リフト21が構成される。前記押し上げ棒20の下方
部は図示されていない上下駆動機構に連結されており、
この上下駆動機構を動作することにより基板18aを上
方へ押し上げ可能となっている。
The push-up plate 19 and the push-up bar 20 constitute a processed substrate lift 21. The lower part of the push-up rod 20 is connected to a vertical drive mechanism (not shown),
By operating this vertical drive mechanism, the substrate 18a can be pushed upward.

また、前記処理基板載置部10の駆動軸15も図示され
ていない上下駆動a横に連結されており、この上下駆動
機構を動作し、例えば、処理基板載置部lOを上方へ移
動することにより第1図の如く、該処理基板載置部10
と処理容器8との間に処理室1としての空間部が形成さ
れる”。
Further, the drive shaft 15 of the processing substrate platform 10 is also connected horizontally to a vertical drive a (not shown), and by operating this vertical drive mechanism, for example, the processing substrate platform 10 is moved upward. As shown in FIG.
A space serving as the processing chamber 1 is formed between the processing chamber 8 and the processing chamber 8.

この場合、気密板11の外周上面部にはシール部材22
が嵌め込まれており、このシール部材22によって頂壁
5の内面(下面)と気密板11との気密圧接が達成され
る。また、真空搬送室52と処理室1との気密隔絶を図
るために、気密板11と第1の電極16問および第1の
電f!f116の中心孔壁と押し上げ棒20との間にそ
れぞれシール部tt23が介設されている。そして、前
記第1の電極16は高周波電源24に接続されている。
In this case, a seal member 22 is provided on the upper surface of the outer periphery of the airtight plate 11.
The sealing member 22 achieves airtight pressure contact between the inner surface (lower surface) of the top wall 5 and the airtight plate 11 . In addition, in order to achieve airtight isolation between the vacuum transfer chamber 52 and the processing chamber 1, the airtight plate 11, the 16 first electrodes, and the first electrode f! Seal portions tt23 are interposed between the center hole wall of f116 and the push-up rod 20, respectively. The first electrode 16 is connected to a high frequency power source 24.

一方、前記処理容器8側には基板18aに対向させて第
2の電極25が配設されており、この第2の重臣25に
は図示されていない電源から直流電圧が印加されている
。 このように直流電圧を印加する第2の電極25を設
けるのは基板18aに入射するイオンのエネルギを制御
するためである。この第2の電極25にはガスの導入系
26が接続されており、導入されたガスは第2の重陽2
5に設けられた複数の穴から基板18aに向けて噴出す
るようになっている。
On the other hand, a second electrode 25 is disposed on the processing chamber 8 side facing the substrate 18a, and a DC voltage is applied to the second senior minister 25 from a power source (not shown). The reason for providing the second electrode 25 to which a DC voltage is applied is to control the energy of ions incident on the substrate 18a. A gas introduction system 26 is connected to this second electrode 25, and the introduced gas is transferred to the second double positive electrode 2.
The liquid is ejected from a plurality of holes provided in 5 toward the substrate 18a.

さらに、処理容器8には排気ボート27と、ハルツ28
と、真空ポンプ2つと、真空ゲージ30とにより構成さ
れる第1の排気系31が連結されている。この第1の排
気系31の真空ポンプ29として、例えば、油回転ポン
プ、メカニカルルーツブロアポンプ、油拡散ポンプ、タ
ーボモレキュラーポンプ、クライオポンプのいずれかの
ポンプ又はこれらの組み合わぜからなるt!数のポンプ
が使用される。また必要に応じ、この第1の排気系31
の構成要素として処理室1内の圧力を一定に制御するた
めの可変コンダクタンスを処理室1と真空ポンプ29間
に設けてもよく、また有害カス成分を除去するトラップ
を真空ポンプ2つの前後に設けてもよい。
Furthermore, the processing container 8 includes an exhaust boat 27 and a HARTS 28.
A first exhaust system 31 composed of two vacuum pumps and a vacuum gauge 30 is connected to the first exhaust system 31 . The vacuum pump 29 of the first exhaust system 31 may be, for example, an oil rotary pump, a mechanical roots blower pump, an oil diffusion pump, a turbomolecular pump, a cryopump, or a combination thereof. Several pumps are used. In addition, if necessary, this first exhaust system 31
As a component, a variable conductance may be provided between the processing chamber 1 and the vacuum pump 29 to control the pressure within the processing chamber 1 at a constant level, and traps for removing harmful residue components may be provided before and after the two vacuum pumps. It's okay.

他方、前記設置穴7の上面側には該設置穴7を覆う予備
真空容器32がシール部材33を介して気密にかつ着脱
自在に装着されている。また、設置穴7の下面側には前
記処理基板載置部10とほぼ同様な予備真空基板載置部
34が」1下駆動機t1−1(図示せず)に連係して上
下動(進退)自在に設けられている。そして、予備真空
基板載置部3・4が上方に移動し、予備真空基板載置部
34の気密板35と頂壁5の下面とがシール部材22a
を汗して気密に当接することにより、予備真空基板載置
部34と予備真空容器32間に予備真空室2としての空
間部が形成される。
On the other hand, a preliminary vacuum container 32 that covers the installation hole 7 is airtightly and removably attached to the upper surface of the installation hole 7 via a seal member 33. In addition, on the lower surface side of the installation hole 7, a preliminary vacuum substrate mounting section 34, which is substantially similar to the processing substrate mounting section 10, is arranged to move up and down (advance and retreat) in conjunction with a lower drive unit t1-1 (not shown). ) are freely provided. Then, the preliminary vacuum substrate placement parts 3 and 4 move upward, and the airtight plate 35 of the preliminary vacuum substrate placement part 34 and the lower surface of the top wall 5 are connected to the sealing member 22a.
By contacting them airtightly, a space serving as the preliminary vacuum chamber 2 is formed between the preliminary vacuum substrate mounting section 34 and the preliminary vacuum container 32.

前記予備真空基板載置部34の筒壁36上面には基板載
置面が形成され、この基板載置面に外部から導入された
基板18bが載置される。また、筒壁36の内部には前
記処理基板リフ1−21と同様な予備真空基板リフト3
7が上下駆動可能に設けられている。 そして、予備真
空室2と外部(大気)との気密を図るために、予備真空
基板載置部34の駆動軸38と予備真空基板リフト37
の押し上げ棒39との間にシール部材40が介設され、
また、真空搬送室52と外気との気密を図るために、真
空搬送室52の底壁13に設けられた挿通孔41と駆動
軸38との間にシール部材42が介設されている。また
、真空搬送室52および予備真空室2にはバルブ43お
よび同44と、真空ポンプ45と、排気ボート53と、
真空ゲージ46とからなる第2の排気系47が連結され
ており、前記バルブ43および同44を開閉制御するこ
とにより、真空搬送室52と予(68真空室2とを個別
に又は同時に真空排気ができるようになっている。前記
真空ポンプ45としては、前記予備真空室2内の真空排
気を迅速に行うため、排気速度の大きい油拡散ポンプ、
クライオポンプ、ターボモレキュラーポンプ等が使用さ
れる。
A substrate mounting surface is formed on the upper surface of the cylindrical wall 36 of the preliminary vacuum substrate mounting section 34, and a substrate 18b introduced from the outside is mounted on this substrate mounting surface. Further, inside the cylindrical wall 36, a preliminary vacuum substrate lift 3 similar to the processing substrate lift 1-21 is provided.
7 is provided so as to be movable up and down. In order to ensure airtightness between the preliminary vacuum chamber 2 and the outside (atmosphere), the drive shaft 38 of the preliminary vacuum substrate mounting section 34 and the preliminary vacuum substrate lift 37 are connected to each other.
A sealing member 40 is interposed between the push-up rod 39 and the
Further, in order to ensure airtightness between the vacuum transfer chamber 52 and the outside air, a seal member 42 is interposed between the insertion hole 41 provided in the bottom wall 13 of the vacuum transfer chamber 52 and the drive shaft 38. Further, the vacuum transfer chamber 52 and the preliminary vacuum chamber 2 include valves 43 and 44, a vacuum pump 45, an exhaust boat 53,
A second exhaust system 47 consisting of a vacuum gauge 46 is connected, and by controlling the opening and closing of the valves 43 and 44, the vacuum transfer chamber 52 and the pre-vacuum chamber 2 can be evacuated individually or simultaneously. The vacuum pump 45 may be an oil diffusion pump with a high evacuation speed, in order to quickly evacuate the preliminary vacuum chamber 2.
Cryopumps, turbo molecular pumps, etc. are used.

また、真空搬送室52内には予備真空基板(載置部34
および処理基板載置部10を下方に移動させた状態で、
予備真空基板載置部34の直上位置から処理基板載置部
10の直上位置へ基板18bを搬送する基板搬送機構4
8が設けられているにの基板搬送機tfI48は搬送ベ
ルト、直線移動フォーク、回転アーム等の公知の手段に
よりIRrtされる。さらに、真空搬送室52には基板
搬送の中継場所として機能する中継ステージ50が設け
られている。
In addition, a preliminary vacuum substrate (placement section 34
and with the processed substrate platform 10 moved downward,
A substrate transport mechanism 4 that transports the substrate 18b from a position directly above the preliminary vacuum substrate platform 34 to a position directly above the processed substrate platform 10.
The substrate transport machine tfI48 provided with the 8 is IRrted by known means such as a transport belt, a linear moving fork, and a rotating arm. Further, the vacuum transfer chamber 52 is provided with a relay stage 50 that functions as a relay place for substrate transfer.

ところで、真空搬送室52の外部近傍位置には複数の基
板18を収容可能な基板ホルダ3が1下動自在に設けら
れており、また、基板ボルダ3の近傍位置には基板搬送
機構49が設けられている。
Incidentally, a substrate holder 3 capable of accommodating a plurality of substrates 18 is provided at a position near the outside of the vacuum transfer chamber 52 and is movable downward, and a substrate transfer mechanism 49 is provided near the substrate boulder 3. It is being

この基板搬送機fi’a 49は前記真空搬送室52内
に設けられている基板搬送機構48と同様な構成になっ
ている。この基板搬送機構49は予備真空容器32を取
り外した状態で基板ホルダ3から基板18を取り出し、
この収り出した基[18を予O1i真空基板載置部34
に載置するものである。
This substrate transfer machine fi'a 49 has the same structure as the substrate transfer mechanism 48 provided in the vacuum transfer chamber 52. This substrate transport mechanism 49 takes out the substrate 18 from the substrate holder 3 with the preliminary vacuum container 32 removed, and
This collected group [18] is pre-loaded onto the O1i vacuum substrate mounting section 34.
It will be placed on

本実施例の装置は上記のように構成されており、以下、
その作用を第1図および第2図に基づいて説明する。第
1図の状態においては、処理基板a置部10および予備
真空基板載置部34は上方移動(進出移動)位置にあり
、処理室1と予備真空室2と基板搬送室4とはそれぞれ
気密隔絶状態にある。そして、第1の排気系31および
第2の排気系47の排気動作により、処理室1と予備真
空室2と基板搬送室4とは高真空状態にある。
The apparatus of this embodiment is configured as described above, and the following is explained below.
The operation will be explained based on FIGS. 1 and 2. In the state shown in FIG. 1, the processing substrate a placement section 10 and the preliminary vacuum substrate placement section 34 are in the upward movement (advance movement) position, and the processing chamber 1, preliminary vacuum chamber 2, and substrate transfer chamber 4 are each airtight. They are in a state of isolation. Due to the exhaust operations of the first exhaust system 31 and the second exhaust system 47, the processing chamber 1, preliminary vacuum chamber 2, and substrate transfer chamber 4 are in a high vacuum state.

このような高真空環境下において、処理室1内で基板1
8aのドライエツチング処理等か行われる。すなわち、
処理室1内にはガスの導入系26を介してハロゲン化炭
素を主体としたガスが導入されており、一方、第1の電
極16には高周波電力が印加され、また、第2の電極2
5には直流電圧が印加される。この結果、導入されたガ
スはグラスマ状態となり、゛活性[ヒした励起状憩の原
子や分子が基板・18aに1ヤ用し周知の挙動により1
]的とするトライエツチング処理等の真空処理が行われ
るのである。
Under such a high vacuum environment, the substrate 1 is
The dry etching process shown in 8a is performed. That is,
A gas mainly composed of halogenated carbon is introduced into the processing chamber 1 via a gas introduction system 26. On the other hand, high frequency power is applied to the first electrode 16, and high frequency power is applied to the second electrode 2.
A DC voltage is applied to 5. As a result, the introduced gas enters the glass state, and atoms and molecules in the activated excited state apply to the substrate 18a, and due to well-known behavior,
] Vacuum processing such as tri-etching processing is performed.

このように処理室1内での基板18aの真空処理が完了
した後に、処理基板載置部10の下方移動(後退移動)
が行われる。そして、第2図に示すように、処理基板リ
フト21を上方に移動し、真空処理済みの基板18aを
基板搬送経路位置Hまで押し上げる。一方、予(Iii
真空室2が所定の真空圧となったときに、予備真空基板
リフト34の下方移動と予備真空基板リフト37の上方
移動が行われており、基板18bも基板搬送経路位置1
1まで押し上げ状態にある。この状態において、)1(
仮搬送機構118の搬送動1ヤが行われ、基板18aお
よび同18bは、まず、中継ステージ50に搬送される
。そして、この中継ステージ50か15基板18aは予
備真空基板リフト37に搬送され、また、基板18bは
処理基板リフト21に搬送される。つまり、基板18a
と基板18bとの交換が行われるのである。この基板交
l!a後、処理基(反リフ1〜21および予備真空基板
リフト37の下方移動が行われ基板18aは予f+in
真空基板載置部34に5!置され、また、基板18bは
第1の電極16の上に載置される。次に、処理基板載置
部10および予備真空基板載置部34の上方移動が行わ
れ、第1図に示ずように、処理室1と予備真空室2と真
空搬送室52との気密隔絶が行われるにの状態で、処理
室1では基板18bの真空処理が行われるが、その一方
、予備真空室2においては、予備真空容器32が取り外
され、さらに予備真空基板リフト37の上下動および基
板搬送機1II49の撤退移動が行われ、真空処理済み
基板18aの搬出と、基板ホルダ3から次の基板18の
搬入が達成される。そして、この未処理基板18が予備
真空基板載置部34に載置された後に予f1M真空容器
32が再装着され、第2の排気系47の排気動1乍によ
り予flifi真空室2の真空排気が行われる。このよ
うに未処理基板18の予備真空室2への導入から真空処
理済み基板の取り出しに至る一連のプロセスを繰り返す
ことにより、複数の基板の真空処理が順次自動的に行わ
れるのである。
After the vacuum processing of the substrate 18a in the processing chamber 1 is completed in this way, the processing substrate platform 10 is moved downward (backward movement).
will be held. Then, as shown in FIG. 2, the processed substrate lift 21 is moved upward, and the vacuum-processed substrate 18a is pushed up to the substrate transport path position H. On the other hand, Yo (Iiii
When the vacuum chamber 2 reaches a predetermined vacuum pressure, the preliminary vacuum substrate lift 34 is moved downward and the preliminary vacuum substrate lift 37 is moved upward, and the substrate 18b is also moved to the substrate transport path position 1.
It is pushed up to 1. In this state, )1(
One transport movement of the temporary transport mechanism 118 is performed, and the substrates 18a and 18b are first transported to the relay stage 50. Then, the relay stage 50 or 15 substrate 18a is transported to the preliminary vacuum substrate lift 37, and the substrate 18b is transported to the processing substrate lift 21. In other words, the substrate 18a
Then, the board 18b is replaced with the board 18b. This board exchange! After a, the processing groups (anti-lifts 1 to 21 and preliminary vacuum substrate lift 37 are moved downward, and the substrate 18a is pref+in
5 on the vacuum substrate mounting section 34! The substrate 18b is placed on the first electrode 16. Next, the processing substrate mounting section 10 and the preliminary vacuum substrate mounting section 34 are moved upward, and as shown in FIG. In the process chamber 1, the substrate 18b is subjected to vacuum processing, while in the pre-vacuum chamber 2, the pre-vacuum container 32 is removed, and the pre-vacuum substrate lift 37 is moved up and down. The substrate transfer machine 1II49 is withdrawn, and the vacuum-treated substrate 18a is carried out and the next substrate 18 is carried in from the substrate holder 3. After this unprocessed substrate 18 is placed on the preliminary vacuum substrate mounting section 34, the pref1M vacuum chamber 32 is reinstalled, and the evacuation of the flifi vacuum chamber 2 is preliminarily performed by the evacuation operation of the second evacuation system 47. Exhaust is performed. In this way, by repeating a series of processes from introducing the unprocessed substrate 18 into the preliminary vacuum chamber 2 to taking out the vacuum-treated substrate, vacuum processing of a plurality of substrates is automatically performed in sequence.

この場合、処理室1および真空搬送室52の真空圧が常
時真空ゲージ30および同46によって検出されており
、真空圧が低下した場合にはその都度第1の排気系31
および第2の排気系47を排気動作させて前記真空圧を
常時最適圧力に保つのである。
In this case, the vacuum pressures in the processing chamber 1 and the vacuum transfer chamber 52 are constantly detected by the vacuum gauges 30 and 46, and whenever the vacuum pressure decreases, the first exhaust system 31
Then, the second exhaust system 47 is operated to perform exhaust operation to maintain the vacuum pressure at the optimum pressure at all times.

上記のように、本実施例によれば、予備真空室2に基板
を出入する際には、該予備真空室2と真空搬送室4およ
び処理室1とは完全に隔絶されており、しかも外部に対
する基板の出入口は予備真空室2のみの1個所に限定さ
れるから、この基板の外部に対する出入によって処理室
1および真空搬送室52が大気侵入によって汚染される
ということがない、また、予備真空室2は基板を1枚収
容するに必要な容積でよいから、非常に小空間とするこ
とができる。したがって、予備真空室2の真空排気時間
を短縮することが可能となり、基板処理の作業能率を改
善でき、半導体基板製造の量産を図る上で有利である。
As described above, according to this embodiment, when a substrate is taken into or out of the preliminary vacuum chamber 2, the preliminary vacuum chamber 2, the vacuum transfer chamber 4, and the processing chamber 1 are completely isolated, and the Since the entrance and exit of the substrate to and from the preliminary vacuum chamber 2 is limited to one place, the processing chamber 1 and the vacuum transfer chamber 52 will not be contaminated by air intrusion due to the entry and exit of the substrate to the outside. Since the volume of the chamber 2 is sufficient to accommodate one substrate, it can be made into a very small space. Therefore, it is possible to shorten the evacuation time of the preliminary vacuum chamber 2, improve the working efficiency of substrate processing, and this is advantageous in mass production of semiconductor substrates.

さらに、予備真空室2と処理室1間を基板搬送する基板
搬送機構48は予備真空室2および処理室1の各室内に
分散配置することなく、真空搬送室52内に一括して配
置されているので、全体の装置構成を大幅に簡易1ヒて
き、また、各室内に分散配置した基板搬送機構を相互に
調整しなければならないという従来の複雑かつ面倒な作
業から解放されるという利益がある。さらに、処理室1
の処理容器8は着脱自在にi+Is成されているから、
基板の真空処理の態様を変更する場合には、それに対応
する処理容器8を装着すれはよく、したがって、従来例
の複雑かつ面倒な11ミ業を要することなく基板処理態
様の変更に伴う装置変更を極めて容易に行うことができ
る、その場合、処理室1の真空排気時には該処理室1内
と大気との圧力差によって処理容器8は収り付は面に押
圧保持されるので、処理容器8の装着機FMの機械的構
成を簡易化することが可能となる。
Further, the substrate transport mechanism 48 that transports the substrate between the preliminary vacuum chamber 2 and the processing chamber 1 is not distributed among the preliminary vacuum chamber 2 and the processing chamber 1, but is arranged all at once in the vacuum transfer chamber 52. This has the advantage of greatly simplifying the overall equipment configuration and freeing the conventional complicated and troublesome work of having to mutually adjust the substrate transport mechanisms distributed in each room. . Furthermore, processing chamber 1
Since the processing container 8 is configured to be detachable,
When changing the mode of vacuum processing of substrates, it is convenient to install the corresponding processing container 8. Therefore, it is possible to change the equipment according to the change of the mode of substrate processing without requiring the complicated and troublesome 11-day work of the conventional example. In this case, when the processing chamber 1 is evacuated, the processing container 8 is held against the surface due to the pressure difference between the inside of the processing chamber 1 and the atmosphere. It becomes possible to simplify the mechanical configuration of the mounting machine FM.

そして、この処理室1の変更や処理室1の保守点検等は
該処理室1を予(Ift真空室2および真空搬送室52
と隔絶状態で行われるから、その変更や点検(作業の完
了後に装置全体を稼動可能な真空状fぶに回復する時間
を短くてきるという利点かある。
Changes to the processing chamber 1, maintenance and inspection of the processing chamber 1, etc. are carried out in advance (ift vacuum chamber 2 and vacuum transfer chamber 52).
This has the advantage of reducing the amount of time it takes to restore the entire device to a vacuum state where it can be operated after the changes and inspections (after the work is completed).

なお、上記実施例では第1の電極16に高周波電力を印
加し、第2の電極25に直流電圧を印加しているが、こ
れを逆にし、第1の電極16に直流電圧を印加し、第2
の電極に高周波電力を印加するようにしてもよい。
Note that in the above embodiment, high frequency power is applied to the first electrode 16 and DC voltage is applied to the second electrode 25, but this is reversed and a DC voltage is applied to the first electrode 16, Second
High frequency power may be applied to the electrodes.

(発明の効果) 本発明は以上説明したような構成と作用とを有している
ので、予備真空室における基板の出入によって処理室や
基板撮送室が大気侵入により汚染されるということがな
く、したがって再現性のよい極めて高品質の基板真空処
理を達成できる8また、予備真空室は小型に、つまり、
室内の容積を小さく構成しており、しかも外部に対する
基板の出入個所は1個所のみであるから、予f11N真
空室の真空排気の時間短縮および処理室の真空圧の低下
防止を図ることが可能となり、これにより、基板処理の
作業能率の改善を行うことができる、さらに、処理室の
処理容器を交換することに、にり処理室の変更を極めて
容易に行うことが可能となり、これにより、本発明の装
置を多目的用途に効果的に活用することができる。
(Effects of the Invention) Since the present invention has the configuration and operation as described above, the processing chamber and the substrate imaging chamber are not contaminated by atmospheric intrusion due to the entry and exit of substrates in the preliminary vacuum chamber. Therefore, extremely high-quality substrate vacuum processing with good reproducibility can be achieved.
Since the volume of the chamber is small and there is only one point where the substrate enters and exits from the outside, it is possible to shorten the evacuation time of the pref11N vacuum chamber and prevent a drop in the vacuum pressure of the processing chamber. This makes it possible to improve the work efficiency of substrate processing.Furthermore, it becomes possible to change the processing chamber extremely easily by replacing the processing container in the processing chamber. The device of the invention can be effectively utilized for multiple purposes.

【図面の簡単な説明】 第1図は本発明に係る一実施例の構成を示す断面図、第
2図は本実施例の作用を示す断面図、第3図乃至第5図
は従来例の装置構成図である。。 1・・・・・・処理室、 2.2a、2b・・・・・・
予備真空室、 3・・・・・・基板ボルダ、 4・・・
・・・基板搬送室、5・・・・・・頂壁、 6.7・・
・・・・設置穴、 8・・・・・・処理容器、 9・・
・・・・シール部材、 10・・・・・・処理基板載置
部、  11・・・・・・気密板、  12・・・・・
・筒壁、13・・・・・・底壁、 14・・・・・・挿
通孔、 15・・・・・・駆動軸、 16・・・・・・
第1の電極、 17・・・・・・凹部、18.18a、
18b・・・・・・基板、 1つ・・・・・・押し」二
げ板、 20・・・・・・押し上げ棒、 21・・・・
・・処理基板リフト、 22.22a、23・・・・・
・シール部材、 24・・・・・・高周波電源、 25
・・・・・・第2の電極、 26・・・・・・ガスの導
入系、 27・・・・・・排気ポート、 28・・・・
・・バルブ、29・・・・・・真空ポンプ、3′0・・
・・・・真空ゲージ、 31・・・・・・第1の排気系
、32・・・・・予fiiii真空容器、 33・・・
・・・シール部材、34・・・・・・予備真空基板載置
部、35・・・・・・気密板、36・・・・・・筒壁、
 37・・・・・・予備真空基板リフト、38・・・・
・・駆動軸、 39・・・・・・押し上げ棒、40・・
・・・・シール部材、 41・・・・・・挿通孔、42
・・・・・・シール部材、 43.44・・・・・・バ
ルブ、45・・・・・・真空ポンプ、 46・・・・・
・真空ゲージ、47・・・・・・第2の排気系、 48
.49・・・・・・基板搬送機構、 50・・・・・・
中継ステージ、  51a〜51i・・・・・・バルブ
、 52・・・・・・真空搬送室、53・・・・・・排
気ポート。
[BRIEF DESCRIPTION OF THE DRAWINGS] Fig. 1 is a sectional view showing the structure of an embodiment according to the present invention, Fig. 2 is a sectional view showing the operation of this embodiment, and Figs. 3 to 5 are sectional views of the conventional example. It is a device configuration diagram. . 1... Processing room, 2.2a, 2b...
Preparatory vacuum chamber, 3... Substrate boulder, 4...
...Substrate transfer chamber, 5...Top wall, 6.7...
...Installation hole, 8...Processing container, 9...
... Seal member, 10 ... Processed substrate mounting section, 11 ... Airtight plate, 12 ...
・Cylinder wall, 13... Bottom wall, 14... Insertion hole, 15... Drive shaft, 16...
First electrode, 17... recess, 18.18a,
18b... Board, 1... Push-up plate, 20... Push-up bar, 21...
・・Processing substrate lift, 22.22a, 23・・・・
・Sealing member, 24...High frequency power supply, 25
...Second electrode, 26...Gas introduction system, 27...Exhaust port, 28...
...Valve, 29...Vacuum pump, 3'0...
...Vacuum gauge, 31...First exhaust system, 32...Prefiiii vacuum vessel, 33...
... Seal member, 34 ... Preliminary vacuum substrate mounting part, 35 ... Airtight plate, 36 ... Cylinder wall,
37... Preliminary vacuum board lift, 38...
...Drive shaft, 39...Pushing rod, 40...
... Seal member, 41 ... Insertion hole, 42
... Seal member, 43.44 ... Valve, 45 ... Vacuum pump, 46 ...
・Vacuum gauge, 47...Second exhaust system, 48
.. 49...Substrate transport mechanism, 50...
Relay stage, 51a to 51i... Valve, 52... Vacuum transfer chamber, 53... Exhaust port.

Claims (2)

【特許請求の範囲】[Claims] (1)各々真空排気系を有する予備真空室と真空搬送室
と処理室とを備え、大気中から基板を一枚毎、該予備真
空室に挿入し、該基板を該真空搬送室を経由して該処理
室に搬送し、該基板を該処理室で真空処理したのち、再
び該基板を該真空搬送室を経由して該予備真空室に戻し
、該予備真空室より該基板を大気中に取出す真空処理装
置において、前記予備真空室および処理室は、前記真空
搬送室の壁面に形成された一対の設置穴部に着脱自在に
設けられ、該穴部を大気側から気密に覆う各別の容器部
と、真空搬送室の室内側から該容器部に対向状態で進退
移動自在に設けられ、その進出移動によって該容器部を
真空搬送室から隔絶して気密な室空間を形成する各別の
基板載置部とによつて構成されており、また該基板載置
部には、載置される基板を搬送経路位置に移動する基板
移動機構を設けるとともに真空搬送室内に該移動機構に
よつて搬送経路位置に移動された基板を所定位置に搬送
する基板搬送機構を設けたことを特徴とする真空処理装
置。
(1) Equipped with a preliminary vacuum chamber, a vacuum transfer chamber, and a processing chamber each having an evacuation system, and inserting substrates from the atmosphere one by one into the preliminary vacuum chamber, and transferring the substrates via the vacuum transfer chamber. After the substrate is vacuum-processed in the processing chamber, the substrate is returned to the preliminary vacuum chamber via the vacuum transfer chamber, and the substrate is exposed to the atmosphere from the preliminary vacuum chamber. In the vacuum processing apparatus to be taken out, the preliminary vacuum chamber and the processing chamber are removably provided in a pair of installation holes formed in the wall surface of the vacuum transfer chamber, and each of the preliminary vacuum chamber and the processing chamber is provided with a separate hole that airtightly covers the hole from the atmosphere side. a container section, and separate chambers which are provided so as to be movable forward and backward from the indoor side of the vacuum transfer chamber so as to face the container section, and whose advance movement isolates the container section from the vacuum transfer chamber to form an airtight chamber space. The substrate mounting section is provided with a substrate moving mechanism for moving the substrate to be placed on the transfer path position, and the moving mechanism moves the substrate into the vacuum transfer chamber. A vacuum processing apparatus comprising a substrate transport mechanism that transports a substrate moved to a transport path position to a predetermined position.
(2)処理室を形成する基板載置部に直流ないし交流電
力が印加される電極を設けたことを特徴とする特許請求
の範囲第(1)項記載の真空処理装置。
(2) The vacuum processing apparatus according to claim (1), wherein an electrode to which direct current or alternating current power is applied is provided on the substrate platform forming the processing chamber.
JP28472485A 1985-12-18 1985-12-18 Vacuum treatment device Granted JPS62142791A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28472485A JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28472485A JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Publications (2)

Publication Number Publication Date
JPS62142791A true JPS62142791A (en) 1987-06-26
JPS6345467B2 JPS6345467B2 (en) 1988-09-09

Family

ID=17682162

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28472485A Granted JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Country Status (1)

Country Link
JP (1) JPS62142791A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0394070A (en) * 1989-09-05 1991-04-18 Kokusai Electric Co Ltd Cvd device
JP2002198411A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Pressure control method, transfer apparatus, and cluster tool
JP2006324367A (en) * 2005-05-18 2006-11-30 Disco Abrasive Syst Ltd Plasma etching system
JP2010089014A (en) * 2008-10-08 2010-04-22 Jpe:Kk Plasma cleaning apparatus
CN103866282A (en) * 2012-12-14 2014-06-18 北京北方微电子基地设备工艺研究中心有限责任公司 PECVD apparatus
JPWO2014103677A1 (en) * 2012-12-26 2017-01-12 麒麟麦酒株式会社 Thin film deposition apparatus and method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3851154B2 (en) * 2001-11-30 2006-11-29 株式会社日本製鋼所 Substrate transport method and apparatus for load lock device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0394070A (en) * 1989-09-05 1991-04-18 Kokusai Electric Co Ltd Cvd device
JP2002198411A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Pressure control method, transfer apparatus, and cluster tool
JP2006324367A (en) * 2005-05-18 2006-11-30 Disco Abrasive Syst Ltd Plasma etching system
JP2010089014A (en) * 2008-10-08 2010-04-22 Jpe:Kk Plasma cleaning apparatus
CN103866282A (en) * 2012-12-14 2014-06-18 北京北方微电子基地设备工艺研究中心有限责任公司 PECVD apparatus
JPWO2014103677A1 (en) * 2012-12-26 2017-01-12 麒麟麦酒株式会社 Thin film deposition apparatus and method

Also Published As

Publication number Publication date
JPS6345467B2 (en) 1988-09-09

Similar Documents

Publication Publication Date Title
US4816638A (en) Vacuum processing apparatus
KR890002837B1 (en) Continuous sputtering apparatus
JPH08330202A (en) Semiconductor wafer treatment device
US20040013501A1 (en) Wafer load lock and magnetically coupled linear delivery system
US20020197145A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
JPS62142791A (en) Vacuum treatment device
JPH11158618A (en) Deposition apparatus for subjecting substantially flat disk type substrate to deposition treatment
CN207353216U (en) Substrate board treatment
JP3012328B2 (en) Method and apparatus for protecting a substrate in a pressure sealed chamber from contaminants in a gas
JP3671983B2 (en) Vacuum processing equipment
JPS639586B2 (en)
JPH0613751B2 (en) Continuous sputtering equipment
JPH10247675A (en) Multi-chamber system, transfer truck thereof, gate valve, and exhaust control method and device thereof
JPH11125491A (en) Continuous heat treatment furnace
KR100808820B1 (en) Vacuum treatment device
JP3276382B2 (en) Vacuum processing device and vacuum processing method
JP2003306771A (en) Film deposition system with glove box
JP3666636B2 (en) Substrate processing equipment
KR0166381B1 (en) Vacuum teatment apparatus
JPH0615720B2 (en) Vacuum processing device
JPH0732133B2 (en) Semiconductor manufacturing equipment
JPH08138615A (en) Ion implantation device and its exhaust method
JPH06140294A (en) Vacuum processing device
JP2676678B2 (en) Continuous sputtering method
JPH028369A (en) Vacuum treatment equipment

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term