JPS6345467B2 - - Google Patents

Info

Publication number
JPS6345467B2
JPS6345467B2 JP28472485A JP28472485A JPS6345467B2 JP S6345467 B2 JPS6345467 B2 JP S6345467B2 JP 28472485 A JP28472485 A JP 28472485A JP 28472485 A JP28472485 A JP 28472485A JP S6345467 B2 JPS6345467 B2 JP S6345467B2
Authority
JP
Japan
Prior art keywords
substrate
vacuum
chamber
processing
preliminary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP28472485A
Other languages
Japanese (ja)
Other versions
JPS62142791A (en
Inventor
Katsuzo Ukai
Tsutomu Tsukada
Toshio Adachi
Koji Ikeda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to JP28472485A priority Critical patent/JPS62142791A/en
Publication of JPS62142791A publication Critical patent/JPS62142791A/en
Publication of JPS6345467B2 publication Critical patent/JPS6345467B2/ja
Granted legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】 (産業上の利用分野) この発明は、真空中で基板の表面処理を行うた
めの真空処理装置に関するものである。
DETAILED DESCRIPTION OF THE INVENTION (Field of Industrial Application) The present invention relates to a vacuum processing apparatus for surface processing a substrate in vacuum.

(従来の技術) この種の真空処理装置としてプラズマを用いた
表面処理装置が周知であり、とりわけ半導体素子
製造工程では、対応性ガスプラズマを利用したド
ライエツチング装置が広く普及している。
(Prior Art) Surface treatment apparatuses using plasma are well known as vacuum processing apparatuses of this type, and dry etching apparatuses using compatible gas plasma are widely used, particularly in semiconductor device manufacturing processes.

これらのドライエツチング装置としては第3図
から第5図に示すものがよく使用されている。
As these dry etching apparatuses, those shown in FIGS. 3 to 5 are often used.

第3図に示す装置は、基板の着脱時に処理室1
を毎回大気にさらし、基板18と装着した後、真
空処理を行うバツチ処理形式の装置である。
The apparatus shown in FIG.
This is a batch processing type device in which the substrate 18 is exposed to the atmosphere each time, and after being attached to the substrate 18, vacuum processing is performed.

第4図に示す装置は、処理室1にバルブ51b
を介して予備真空室2aを設け、大気中に配置さ
れた基板ホルダ3から基板18を一枚づつバルブ
51aを開いて予備真空室2aに導き、さらにこ
の予備真空室2aからバルブ51bを介して処理
室1に搬送し、この処理室1で所期の基板処理を
した後、さらにバルブ51cおよび他の真空予備
室2bを通し、バルブ51dを開いて再び大気中
に取り出すもので、いわゆる真空気密機構を有す
る処理装置である。
The apparatus shown in FIG. 4 has a valve 51b in the processing chamber 1.
A preliminary vacuum chamber 2a is provided through the substrate holder 3 placed in the atmosphere, and the substrates 18 are guided one by one into the preliminary vacuum chamber 2a by opening the valve 51a, and further from the preliminary vacuum chamber 2a through the valve 51b. After the substrate is transported to the processing chamber 1 and subjected to the desired processing in this processing chamber 1, it is further passed through a valve 51c and another vacuum preliminary chamber 2b, and then taken out into the atmosphere again by opening the valve 51d, so that it is so-called vacuum-tight. It is a processing device with a mechanism.

第5図に示す装置は、基板18が収納されてい
る基板ホルダ3ごと大容積の予備真空室2aに導
入し、基板を処理室1と該予備真空室2aとの間
に設置された基板搬送室4を介して処理室1に搬
送し、この処理室1で基板を処理した後、再び基
板搬送室4を通つて他の基板ホルダ3が用意され
た大容積の予備真空室2bに基板を回収し、その
後この回収した基板を大気中に取り出す処理形態
の装置である。なお、図中において、51e乃至
51iはバルブを示している。
The apparatus shown in FIG. 5 introduces the substrate 18 together with the substrate holder 3 into a large-volume preliminary vacuum chamber 2a, and transfers the substrate to a substrate transfer chamber installed between the processing chamber 1 and the preliminary vacuum chamber 2a. After the substrate is transferred to the processing chamber 1 through the chamber 4 and processed in the processing chamber 1, the substrate is transferred again through the substrate transfer chamber 4 to the large-volume preliminary vacuum chamber 2b in which another substrate holder 3 is prepared. This is a processing type device that collects substrates and then takes out the collected substrates into the atmosphere. In addition, in the figure, 51e to 51i indicate valves.

(発明が解決しようとする問題点) しかしながら、この種の各装置において、前記
第3図に示す装置では、処理室1内に基板を出入
する際にこの処理室1内に大気が入り込んで、処
理室1の内壁に大気中のH2Oなどが吸着し、再
度処理室1を真空に保持し、基板処理を行う段階
で、この吸着ガス(特にH2O)が放出され、エ
ツチング性能の再現性が著しく阻害されるという
問題がある。
(Problems to be Solved by the Invention) However, in each of these types of apparatuses, in the apparatus shown in FIG. H 2 O, etc. in the atmosphere is adsorbed on the inner wall of the processing chamber 1, and when the processing chamber 1 is kept in a vacuum again and substrate processing is performed, this adsorbed gas (particularly H 2 O) is released, which impairs etching performance. There is a problem in that reproducibility is significantly impaired.

次に、前記第4図に示す装置においては、基板
を処理室1に出入する際には予備真空室2aおよ
び同2bを介して行われるので、処理室1への大
気の進入は前記第3図の装置に較べかなり防止で
きる。しかし、短時間、例えば2〜3分間以内で
処理室1における基板の真空処理と処理基板の取
り出しおよび未処理基板の導入との繰返しサイク
ルを実施する場合には、基板18の入口と出口が
別々に設けられている等のため処理室1の気密が
十分でなく、このため、前記第3図に示す装置の
処理形態と同様吸着ガスによる悪影響が生じて安
定な基板処理性能(エツチング性能)が得られな
い。一方、第5図に示す処理形態に関しては、予
備真空室2aおよび同2b内に複数の基板を導入
又は回収する方式であるから、予備真空室2aお
よび同2b内の容積が大きくなり、このため基板
の出入後予備真空室2aおよび同2bを真空排気
するに際し、予備真空室2aおよび同2bの壁面
からの吸着ガスの放出量が著しく増大する(ガス
放出量は真空室内の表面積に比例し、大気開放時
間に反比例する)。このため、ガス放出量の悪影
響が無視できる程度になるまで真空排気を行うに
は真空排気開始後20〜30分を要する。かかる長時
間の真空排気を不可欠とすることは真空処理装置
の処理能力の阻害要因となる。
Next, in the apparatus shown in FIG. 4, since the substrate is moved into and out of the processing chamber 1 through the preliminary vacuum chambers 2a and 2b, the entry of the atmosphere into the processing chamber 1 is limited to the third vacuum chamber. This can be prevented considerably compared to the device shown in the figure. However, when carrying out a repeated cycle of vacuum processing the substrate in the processing chamber 1, taking out the processed substrate, and introducing the unprocessed substrate for a short time, for example, within 2 to 3 minutes, the inlet and outlet of the substrate 18 may be separated. As a result, the airtightness of the processing chamber 1 is not sufficient due to the fact that the processing chamber 1 is provided with I can't get it. On the other hand, in the processing mode shown in FIG. 5, since a plurality of substrates are introduced into or recovered from the preliminary vacuum chambers 2a and 2b, the volumes within the preliminary vacuum chambers 2a and 2b become large. When the preliminary vacuum chambers 2a and 2b are evacuated after the substrates are taken in and out, the amount of adsorbed gas released from the walls of the preliminary vacuum chambers 2a and 2b increases significantly (the amount of gas released is proportional to the surface area inside the vacuum chamber, (inversely proportional to the exposure time). Therefore, it takes 20 to 30 minutes after the start of evacuation to perform evacuation until the adverse effect of the amount of gas released is negligible. Requiring evacuation for such a long time becomes a factor that inhibits the throughput of the vacuum processing apparatus.

またこれら第3図から第5図までの真空処理装
置において、基板の処理態様を変更する場合には
処理室1内の部品等の構成部材や処理室1自体の
構造を変更しなければならない場合が生じる。
In addition, in the vacuum processing apparatuses shown in FIGS. 3 to 5, when changing the processing mode of the substrate, it is necessary to change the components such as parts in the processing chamber 1 or the structure of the processing chamber 1 itself. occurs.

かかる場合、とりわけ第4図および第5図のよ
うに、処理室1に隣接して予備真空室2aおよび
同2bや真空搬送室4が専用的に設置され、かつ
真空中での基板の受け渡し機構(図示せず)が各
室に分散している場合は、搬送機構や処理室1の
変更に際し、複数かつ面倒な調整作業をしなけれ
ばならない。このような調整作業を回避する観点
から一般に真空処理装置が用途別の専用型となり
がちであり、これが多目的用途への大きな障害と
なつていた。
In such a case, especially as shown in FIGS. 4 and 5, preliminary vacuum chambers 2a and 2b and a vacuum transfer chamber 4 are specially installed adjacent to the processing chamber 1, and a substrate transfer mechanism in vacuum is provided. (not shown) are dispersed in each chamber, multiple and troublesome adjustment operations must be performed when changing the transport mechanism or the processing chamber 1. In order to avoid such adjustment work, vacuum processing equipment generally tends to be of a dedicated type for each application, and this has been a major obstacle to multipurpose usage.

本発明は上記従来の問題点を解決するためにな
されたものであり、その目的は、大気進入による
処理室の汚染を確実に防止でき、さらに優れた真
空排気の処理能力を有し、かつ基板処理態様の変
更に対しても容易に対処できる多目的用途に使用
可能な真空処理装置を提供することにある。
The present invention has been made in order to solve the above-mentioned conventional problems, and its purpose is to reliably prevent contamination of the processing chamber due to air intrusion, to have excellent vacuum evacuation processing ability, and to It is an object of the present invention to provide a vacuum processing apparatus that can be used for multiple purposes and can easily cope with changes in processing modes.

(問題点を解決するための手段) 本発明は上記目的を達成するために次のように
構成されている。すなわち、本発明は、各々真空
排気系を有する予備真空室と真空搬送室と処理室
とを備え大気中から基板を一枚毎、該予備真空室
に挿入し、該基板を該真空搬送室を経由して該処
理室に搬送し、該基板を該処理室で真空処理した
のち、再び該基板を該真空搬送室を経由して該予
備真空室に戻し、該予備真空室より該基板を大気
中に取出す真空処理装置において、前記予備真空
室および処理室は、前記真空搬送室の壁面に形成
された一対の設置穴部に着脱自在に設けられ、該
穴部を大気側から気密に覆う各別の容器部と、真
空搬送室の室内側から該容器部に対向状態で進退
移動自在に設けられ、その進出移動によつて該容
器部を真空搬送室から隔絶して気密な室空間を形
成する各別の基板載置部とによつて構成されてお
り、また該基板載置部には、載置される基板を搬
送経路位置に移動する基板移動機構を設けた真空
処理装置である。
(Means for Solving the Problems) In order to achieve the above object, the present invention is configured as follows. That is, the present invention includes a preliminary vacuum chamber, a vacuum transfer chamber, and a processing chamber, each having an evacuation system, and inserts substrates from the atmosphere one by one into the preliminary vacuum chamber, and transfers the substrates to the vacuum transfer chamber. After the substrate is vacuum-processed in the processing chamber, the substrate is returned to the pre-vacuum chamber via the vacuum transfer chamber, and the substrate is exposed to the atmosphere from the pre-vacuum chamber. In the vacuum processing apparatus, the preliminary vacuum chamber and the processing chamber are removably provided in a pair of installation holes formed in the wall surface of the vacuum transfer chamber, and each hole is airtightly covered from the atmosphere side. A separate container part is provided facing the container part from the indoor side of the vacuum transfer chamber so as to be movable forward and backward, and by moving forward, the container part is isolated from the vacuum transfer chamber to form an airtight chamber space. This is a vacuum processing apparatus in which the substrate mounting part is provided with a substrate moving mechanism for moving the mounted substrate to a transport path position.

(作用) 上記構成からなる本発明において、基板の真空
処理に際し、予備真空室と真空搬送室と処理室間
の基板の出入および搬送動作は次のように行われ
る。まず、例えば、処理室および予備真空室の基
板載置部を進出移動状態にして予備真空室と真空
搬送室と処理室とを気密隔絶し、真空排気系を動
作して真空搬送室と処理室を真空排気する。
(Function) In the present invention having the above configuration, during vacuum processing of a substrate, the movement of the substrate into and out of the preliminary vacuum chamber, the vacuum transfer chamber, and the processing chamber and the transfer operation are performed as follows. First, for example, the substrate mounting parts of the processing chamber and the pre-vacuum chamber are brought into the advanced movement state to airtightly isolate the pre-vacuum chamber, the vacuum transfer chamber, and the processing chamber, and the vacuum evacuation system is operated to separate the vacuum transfer chamber and the processing chamber. evacuate.

同時に、予備真空室の容器部を取り外し基板載
置部に基板を載置して前記取り外した容器部を気
密に装着する。このようにして、外部から予備真
空室への基板導入が完了する。次に真空排気系を
動作させて予備真空室の真空排気を行い、この予
備真空室とすでに真空排気がされている真空搬送
室および処理室がそれぞれ所定の真空状態となつ
たときに、予備真空室および処理室の各基板載置
部を後退移動する。この後退移動によつて真空搬
送室と予備真空室および処理室との隔絶状態が解
除される。次に、基板リフトおよび基板搬送機構
を動作させて、まず予備真空室用の基板載置部に
載置されている基板を基板リフトにより搬送経路
位置に移動し、次に、この基板を基板搬送機構に
より例えば処理室用の基板載置部の直上位置へ搬
送する。そして、この基板搬送の終了時に基板リ
フトを動作させてその基板を処理室の基板載置部
に載置する。次に各基板載置部を進出移動するこ
とで予備真空室と真空搬送室と処理室とは再び気
密隔絶状態となり、真空処理室内において基板の
真空処理が行われる。これと同時に、予備真空室
においては前述したのと同様の操作により次の基
板が導入され、予備真空室内の真空排気が行われ
る。次に、処理室内での基板の真空処理が完了し
たときには処理室の基板載置部を後退移動し、さ
らに基板リフトを動作して真空処理済みの基板を
搬送経路位置に移動する。
At the same time, the container section of the preliminary vacuum chamber is removed, the substrate is placed on the substrate mounting section, and the removed container section is airtightly mounted. In this way, the introduction of the substrate from the outside into the preliminary vacuum chamber is completed. Next, the vacuum evacuation system is operated to evacuate the preliminary vacuum chamber, and when this preliminary vacuum chamber and the vacuum transfer chamber and processing chamber, which have already been evacuated, reach the predetermined vacuum state, the preliminary vacuum The chamber and each substrate platform in the processing chamber are moved backward. This backward movement releases the separation between the vacuum transfer chamber, the preliminary vacuum chamber, and the processing chamber. Next, the substrate lift and substrate transfer mechanism are operated, and the substrate placed on the substrate platform for the preliminary vacuum chamber is first moved to the transfer path position by the substrate lift, and then this substrate is transferred. A mechanism transports it to a position directly above a substrate platform for a processing chamber, for example. Then, at the end of this substrate transfer, the substrate lift is operated to place the substrate on the substrate platform of the processing chamber. Next, by moving each substrate platform forward, the preliminary vacuum chamber, the vacuum transfer chamber, and the processing chamber are once again airtightly isolated, and the vacuum processing of the substrate is performed within the vacuum processing chamber. At the same time, the next substrate is introduced into the pre-vacuum chamber by the same operation as described above, and the pre-vacuum chamber is evacuated. Next, when the vacuum processing of the substrate in the processing chamber is completed, the substrate mounting section of the processing chamber is moved backward, and the substrate lift is further operated to move the vacuum processed substrate to the transport path position.

一方、予備真空室の基板載置部も予備真空室の
真空排気後に後退移動が行われ、かつ基板リフト
の動作により前記予備真空室の基板が搬送経路位
置に移動されている。この状態で基板搬送機構の
搬送動作が行われ真空処理済みの基板と未処理の
基板との位置交換が行われる。次に、基板リフト
を動作させてそれぞれの基板を対応する基板載置
部に載置する。この結果、処理室用の基板載置部
には未処理基板が載置され、予備真空室用の基板
載置部には真空処理済みの基板が載置される。
On the other hand, the substrate mounting portion of the preliminary vacuum chamber is also moved backward after the preliminary vacuum chamber is evacuated, and the substrate in the preliminary vacuum chamber is moved to the transport path position by the operation of the substrate lift. In this state, the substrate transport mechanism performs a transport operation, and the positions of the vacuum-treated substrate and the unprocessed substrate are exchanged. Next, the substrate lift is operated to place each substrate on the corresponding substrate platform. As a result, an unprocessed substrate is placed on the substrate placement section for the processing chamber, and a vacuum-processed substrate is placed on the substrate placement section for the preliminary vacuum chamber.

次に、各基板載置部を進出移動することによ
り、予備真空室と真空搬送室と処理室とが再び気
密隔絶され、処理室内においては未処理基板の真
空処理が行われ、その一方、予備真空室では容器
部の取り外しにより真空処理済み基板の取り出し
および外部からの未処理基板の導入が行われる。
Next, by advancing and moving each substrate platform, the preliminary vacuum chamber, vacuum transfer chamber, and processing chamber are again airtightly isolated, and vacuum processing of unprocessed substrates is performed in the processing chamber, while the preliminary In the vacuum chamber, by removing the container part, the vacuum-treated substrate is taken out and the unprocessed substrate is introduced from the outside.

そして、取り外した容器部の再装着を行い、排
気系を動作させて予備真空室の真空排気が行われ
る。このように、予備真空室への基板導入から真
空処理済み基板の取り出しに至る一連の手順を繰
り返すことにより、次々に基板の真空処理が達成
されるのである。
Then, the removed container section is reattached, and the evacuation system is operated to evacuate the preliminary vacuum chamber. In this way, by repeating a series of steps from introducing the substrate into the preliminary vacuum chamber to taking out the vacuum-treated substrate, vacuum processing of the substrates is accomplished one after another.

(実施例) 以下、本発明の一実施例を図面に基づいて説明
する。第1図には本発明に係る実施例の断面構成
が示され、真空搬送室52の頂壁5には任意間隔
離れた位置に一対の設置穴6および同7が穿設さ
れている。そして、この設置穴6を覆うようにし
て頂壁5の外側には容器部としての処理容器8が
着脱自在に取り付けられている。この処理容器8
と前記頂壁5の当接面間にはシール部材9が介設
され処理容器8の内部と外部(大気)との気密が
維持されている。
(Example) Hereinafter, an example of the present invention will be described based on the drawings. FIG. 1 shows a cross-sectional configuration of an embodiment of the present invention, in which a pair of installation holes 6 and 7 are bored in the top wall 5 of the vacuum transfer chamber 52 at arbitrary distances apart. A processing container 8 serving as a container section is detachably attached to the outside of the top wall 5 so as to cover the installation hole 6. This processing container 8
A sealing member 9 is interposed between the abutting surfaces of the top wall 5 and the top wall 5 to maintain airtightness between the inside of the processing container 8 and the outside (atmosphere).

一方、設置穴6の下面側には前記処理容器8と
対向させて処理基板載置部10が設けられてい
る。この処理基板載置部10は平板状の気密板1
1と、この気密板11の上面から起立し前記設置
穴6に挿通している筒壁12と、気密板11の下
面から垂設されたパイプ状の駆動軸15とからな
る。
On the other hand, a processing substrate mounting section 10 is provided on the lower surface side of the installation hole 6 so as to face the processing container 8 . This processing substrate mounting section 10 is a flat airtight plate 1.
1, a cylindrical wall 12 that stands up from the upper surface of the airtight plate 11 and is inserted into the installation hole 6, and a pipe-shaped drive shaft 15 that extends vertically from the lower surface of the airtight plate 11.

この駆動軸15は真空搬送室52の底壁13の
挿通孔14をシール部材42を介して気密に挿通
し下外方に突出している。筒壁12の内部には第
1の電極16が前記処理基板載置部10の中心孔
に嵌合し気密板11に立設状態で載置されてい
る。第1の電極16の上面には凹部17が形成さ
れており、この凹部を覆うような格好で第1の電
極16の上面に基板18aが載置されている。
The drive shaft 15 is hermetically inserted into the insertion hole 14 of the bottom wall 13 of the vacuum transfer chamber 52 via the seal member 42 and projects downwardly and outwardly. Inside the cylindrical wall 12, a first electrode 16 is fitted into the center hole of the processing substrate mounting section 10 and placed upright on the airtight plate 11. A recess 17 is formed on the upper surface of the first electrode 16, and a substrate 18a is placed on the upper surface of the first electrode 16 so as to cover the recess.

また、凹部17には押し上げ板19が収容され
ており、この押し上げ板19の中心部からは押し
上げ棒20が第1の電極16の中心孔を貫通して
外方に突出している。この押し上げ板19と押し
上げ棒20とによつて処理基板リフト21が構成
される。前記押し上げ棒20の下方部は図示され
ていない上下駆動機構に連結されており、この上
下駆動機構を動作することにより基板18aを上
方へ押し上げ可能となつている。
Further, a push-up plate 19 is housed in the recess 17, and a push-up rod 20 projects outward from the center of the push-up plate 19 through the center hole of the first electrode 16. The push-up plate 19 and push-up bar 20 constitute a processed substrate lift 21. The lower part of the push-up rod 20 is connected to a vertical drive mechanism (not shown), and by operating this vertical drive mechanism, the substrate 18a can be pushed upward.

また、前記処理基板載置部10の駆動軸15も
図示されていない上下駆動機構に連結されてお
り、この上下駆動機構を動作し、例えば、処理基
板載置部10を上方へ移動することにより第1図
の如く、該処理基板載置部10と処理容器8との
間に処理室1としての空間部が形成される。
Further, the drive shaft 15 of the processing substrate platform 10 is also connected to a vertical drive mechanism (not shown), and by operating this vertical drive mechanism, for example, by moving the processing substrate platform 10 upward. As shown in FIG. 1, a space serving as a processing chamber 1 is formed between the processing substrate mounting section 10 and the processing container 8. As shown in FIG.

この場合、気密板11の外周上面部にはシール
部材22が嵌め込まれており、このシール部材2
2によつて頂壁5の内面(下面)と気密板11と
の気密圧接が達成される。また、真空搬送室52
と処理室1との気密隔絶を図るために、気密板1
1と第1の電極16間および第1の電極16の中
心孔壁と押し上げ棒20との間にそれぞれシール
部材23が介設されている。そして、前記第1の
電極16は高周波電源24に接続されている。
In this case, a sealing member 22 is fitted into the upper surface of the outer periphery of the airtight plate 11.
2 achieves airtight pressure contact between the inner surface (lower surface) of the top wall 5 and the airtight plate 11. In addition, the vacuum transfer chamber 52
In order to achieve airtight separation between the processing chamber 1 and the airtight plate 1,
A seal member 23 is interposed between the center hole wall of the first electrode 16 and the push-up rod 20, respectively. The first electrode 16 is connected to a high frequency power source 24.

一方、前記処理容器8側には基板18aに対向
させて第2の電極25が配設されており、この第
2の電極25には図示されていない電源から直流
電圧が印加されている。このように直流電圧を印
加する第2の電極25を設けるのは基板18aに
入射するイオンのエネルギを制御するためであ
る。この第2の電極25にはガスの導入系26が
接続されており、導入されたガスは第2の電極2
5に設けられた複数の穴から基板18aに向けて
噴出するようになつている。
On the other hand, a second electrode 25 is disposed on the processing chamber 8 side facing the substrate 18a, and a DC voltage is applied to the second electrode 25 from a power source (not shown). The reason for providing the second electrode 25 to which a DC voltage is applied is to control the energy of ions incident on the substrate 18a. A gas introduction system 26 is connected to this second electrode 25, and the introduced gas is transferred to the second electrode 25.
The liquid is ejected from a plurality of holes provided in the substrate 18a toward the substrate 18a.

さらに、処理容器8には排気ポート27と、バ
ルブ28と、真空ポンプ29と、真空ゲージ30
とにより構成される第1の排気系31が連結され
ている。この第1の排気系31の真空ポンプ29
として、例えば、油回転ポンプ、メカニカルルー
ツブロアポンプ、油拡散ポンプ、ターボモレキユ
ラーポンプ、クライオポンプのいずれかのポンプ
又はこれらの組み合わせからなる複数のポンプが
使用される。また必要に応じ、この第1の排気系
31の構成要素として処理室1内の圧力を一定に
制御するための可変コンダクタンスを処理室1と
真空ポンプ29間に設けてもよく、また有害ガス
成分を除去するトラツプを真空ポンプ29の前後
に設けてもよい。
Furthermore, the processing container 8 includes an exhaust port 27, a valve 28, a vacuum pump 29, and a vacuum gauge 30.
A first exhaust system 31 is connected thereto. Vacuum pump 29 of this first exhaust system 31
For example, a plurality of pumps such as an oil rotary pump, a mechanical roots blower pump, an oil diffusion pump, a turbo molecular pump, a cryopump, or a combination thereof are used. Further, if necessary, a variable conductance may be provided between the processing chamber 1 and the vacuum pump 29 as a component of the first exhaust system 31 to control the pressure within the processing chamber 1 at a constant level, and a A trap may be provided before and after the vacuum pump 29 to remove the air.

他方、前記設置穴7の上面側には該設置穴7を
覆う予備真空容器32がシール部材33を介して
気密にかつ着脱自在に装着されている。また、設
置穴7の下面側には前記処理基板載置部10とほ
ぼ同様な予備真空基板載置部34が上下駆動機構
(図示せず)に連係して上下動(進退)自在に設
けられている。そして、予備真空基板載置部34
が上方に移動し、予備真空基板載置部34の気密
板35と頂壁5の下面とがシール部材22aを介
して気密に当接することにより、予備真空基板載
置部34と予備真空容器32間に予備真空室2と
しての空間部が形成される。
On the other hand, a preliminary vacuum container 32 that covers the installation hole 7 is airtightly and removably attached to the upper surface of the installation hole 7 via a seal member 33. Further, on the lower surface side of the installation hole 7, a preliminary vacuum substrate mounting section 34, which is substantially similar to the processing substrate mounting section 10, is provided so as to be able to move up and down (advance and retreat) in conjunction with a vertical drive mechanism (not shown). ing. Then, the preliminary vacuum substrate mounting section 34
moves upward, and the airtight plate 35 of the preliminary vacuum substrate placement section 34 and the lower surface of the top wall 5 come into airtight contact via the sealing member 22a, whereby the preliminary vacuum substrate placement section 34 and the preliminary vacuum container 32 A space serving as a preliminary vacuum chamber 2 is formed in between.

前記予備真空基板載置部34の筒壁36上面に
は基板載置面が形成され、この基板載置面に外部
から導入された基板18bが載置される。また、
筒壁36の内部には前記処理基板リフト21と同
様な予備真空基板リフト37が上下駆動可能に設
けられている。そして、予備真空室2と外部(大
気)との気密を図るために、予備真空基板載置部
34の駆動軸38と予備真空基板リフト37の押
し上げ棒39との間にシール部材40が介設さ
れ、また、真空搬送室52と外気との気密を図る
ために、真空搬送室52の底壁13に設けられた
挿通孔41と駆動軸38との間にシール部材42
が介設されている。また、真空搬送室52および
予備真空室2にはバルブ43および同44と、真
空ポンプ45と、排気ポート53と、真空ゲージ
46とからなる第2の排気系47が連結されてお
り、前記バルブ43および同44を開閉制御する
ことにより、真空搬送室52と予備真空室2とを
個別に又は同時に真空排気ができるようになつて
いる。前記真空ポンプ45としては、前記予備真
空室2内の真空排気を迅速に行うため、排気速度
の大きい油拡散ポンプ、クライオポンプ、ターボ
モレキユラーポンプ等が使用される。
A substrate mounting surface is formed on the upper surface of the cylindrical wall 36 of the preliminary vacuum substrate mounting section 34, and a substrate 18b introduced from the outside is mounted on this substrate mounting surface. Also,
Inside the cylindrical wall 36, a preliminary vacuum substrate lift 37 similar to the processed substrate lift 21 is provided so as to be movable up and down. In order to ensure airtightness between the preliminary vacuum chamber 2 and the outside (atmosphere), a sealing member 40 is interposed between the drive shaft 38 of the preliminary vacuum substrate mounting section 34 and the push-up rod 39 of the preliminary vacuum substrate lift 37. In addition, in order to ensure airtightness between the vacuum transfer chamber 52 and the outside air, a seal member 42 is provided between the insertion hole 41 provided in the bottom wall 13 of the vacuum transfer chamber 52 and the drive shaft 38.
is interposed. Further, a second exhaust system 47 consisting of valves 43 and 44, a vacuum pump 45, an exhaust port 53, and a vacuum gauge 46 is connected to the vacuum transfer chamber 52 and the preliminary vacuum chamber 2. By controlling the opening and closing of 43 and 44, the vacuum transfer chamber 52 and the preliminary vacuum chamber 2 can be evacuated individually or simultaneously. As the vacuum pump 45, in order to quickly evacuate the preliminary vacuum chamber 2, an oil diffusion pump, a cryopump, a turbo molecular pump, or the like having a high pumping speed is used.

また、真空搬送室52内には予備真空基板載置
部34および処理基板載置部10を下方に移動さ
せた状態で、予備真空基板載置部34の直上位置
から処理基板載置部10の直上位置へ基板18b
を搬送する基板搬送機構48が設けられている。
In addition, inside the vacuum transfer chamber 52, with the preliminary vacuum substrate placement section 34 and the processed substrate placement section 10 moved downward, the processing substrate placement section 10 is placed from a position directly above the preliminary vacuum substrate placement section 34. Board 18b to position directly above
A substrate transport mechanism 48 is provided to transport the substrate.

この基板搬送機構48は搬送ベルト、直線移動
フオーク、回転アーム等の公知の手段により構成
される。さらに、真空搬送室52には基板搬送の
中継場所として機能する中継ステージ50が設け
られている。
This substrate transport mechanism 48 is constructed by known means such as a transport belt, a linearly moving fork, and a rotating arm. Further, the vacuum transfer chamber 52 is provided with a relay stage 50 that functions as a relay place for substrate transfer.

ところで、真空搬送室52の外部近傍位置には
複数の基板18を収容可能な基板ホルダ3が上下
動自在に設けられており、また、基板ホルダ3の
近傍位置には基板搬送機構49が設けられてい
る。
By the way, a substrate holder 3 capable of accommodating a plurality of substrates 18 is provided at a position near the outside of the vacuum transfer chamber 52 and is movable up and down, and a substrate transfer mechanism 49 is provided near the substrate holder 3. ing.

この基板搬送機構49は前記真空搬送室52内
に設けられている基板搬送機構48と同様な構成
になつている。この基板搬送機構49は予備真空
容器32を取り外した状態で基板ホルダ3から基
板18を取り出し、この取り出した基板18を予
備真空基板載置部34に載置するものである。
This substrate transfer mechanism 49 has a similar structure to the substrate transfer mechanism 48 provided in the vacuum transfer chamber 52. This substrate transport mechanism 49 takes out the substrate 18 from the substrate holder 3 with the preliminary vacuum container 32 removed, and places the taken out substrate 18 on the preliminary vacuum substrate mounting section 34.

本実施例の装置は上記のように構成されてお
り、以下、その作用を第1図および第2図に基づ
いて説明する。第1図の状態においては、処理基
板載置部10および予備真空基板載置部34は上
方移動(進出移動)位置にあり、処理室1と予備
真空室2と基板搬送室4とはそれぞれ気密隔絶状
態にある。そして、第1の排気系31および第2
の排気系47の排気動作により、処理室1と予備
真空室2と基板搬送室4とは高真空状態にある。
The apparatus of this embodiment is constructed as described above, and its operation will be explained below with reference to FIGS. 1 and 2. In the state shown in FIG. 1, the processing substrate placement section 10 and the preliminary vacuum substrate placement section 34 are in the upward movement (advancing movement) position, and the processing chamber 1, preliminary vacuum chamber 2, and substrate transfer chamber 4 are each airtight. They are in a state of isolation. Then, the first exhaust system 31 and the second
Due to the exhaust operation of the exhaust system 47, the processing chamber 1, preliminary vacuum chamber 2, and substrate transfer chamber 4 are in a high vacuum state.

このような高真空環境下において、処理室1内
で基板18aのドライエツチング処理等が行われ
る。すなわち、処理室1内にはガスの導入系26
を介してハロゲン化炭素を主体としたガスが導入
されており、一方、第1の電極16には高周波電
力が印加され、また、第2の電極25には直流電
圧が印加される。この結果、導入されたガスはプ
ラズマ状態となり、活性化した励起状態の原子や
分子が基板18aに作用し周知の挙動により目的
とするドライエツチング処理等の真空処理が行わ
れるのである。
Under such a high vacuum environment, dry etching processing and the like of the substrate 18a are performed in the processing chamber 1. That is, in the processing chamber 1 there is a gas introduction system 26.
A gas mainly consisting of halogenated carbon is introduced through the electrode 16, while high frequency power is applied to the first electrode 16, and a DC voltage is applied to the second electrode 25. As a result, the introduced gas becomes a plasma state, and activated atoms and molecules in an excited state act on the substrate 18a, and the desired vacuum processing such as dry etching processing is performed according to well-known behavior.

このように処理室1内での基板18aの真空処
理が完了した後に、処理基板載置部10の下方移
動(後退移動)が行われる。そして、第2図に示
すように、処理基板リフト21を上方に移動し、
真空処理済みの基板18aを基板搬送経路位置H
まで押し上げる。一方、予備真空室2が所定の真
空圧となつたときに、予備真空基板載置部34の
下方移動と予備真空基板リフト37の上方移動が
行われており、基板18bも基板搬送経路位置H
まで押し上げ状態にある。この状態において、基
板搬送機構48の搬送動作が行われ、基板18a
および同18bは、まず、中継ステージ50に搬
送される。そして、この中継ステージ50から基
板18aは予備真空基板リフト37に搬送され、
また、基板18bは処理基板リフト21に搬送さ
れる。つまり、基板18aと基板18bとの交換
が行われるのである。この基板交換後、処理基板
リフト21および予備真空基板リフト37の下方
移動が行われ基板18aは予備真空基板載置部3
4に載置され、また、基板18bは第1の電極1
6の上に載置される。次に、処理基板載置部10
および予備真空基板載置部34の上方移動が行わ
れ、第1図に示すように、処理室1と予備真空室
2と真空搬送室52との気密隔絶が行われる。
After the vacuum processing of the substrate 18a in the processing chamber 1 is completed in this manner, the processing substrate mounting section 10 is moved downward (backward movement). Then, as shown in FIG. 2, the processed substrate lift 21 is moved upward,
Move the vacuum-treated substrate 18a to substrate transport path position H.
push up to. On the other hand, when the preliminary vacuum chamber 2 reaches a predetermined vacuum pressure, the preliminary vacuum substrate mounting section 34 is moved downward and the preliminary vacuum substrate lift 37 is moved upward, and the substrate 18b is also moved to the substrate transport path position H.
It is in a state of being pushed up to. In this state, the substrate transfer mechanism 48 carries out the transfer operation, and the substrate 18a
and 18b are first transported to the relay stage 50. Then, the substrate 18a is transferred from this relay stage 50 to the preliminary vacuum substrate lift 37,
Further, the substrate 18b is transported to the processing substrate lift 21. In other words, the substrate 18a and the substrate 18b are exchanged. After this substrate exchange, the processed substrate lift 21 and the preliminary vacuum substrate lift 37 are moved downward, and the substrate 18a is moved to the preliminary vacuum substrate mounting section 3.
4, and the substrate 18b is placed on the first electrode 1
It is placed on top of 6. Next, the processing substrate mounting section 10
Then, the preliminary vacuum substrate mounting section 34 is moved upward, and as shown in FIG. 1, the processing chamber 1, preliminary vacuum chamber 2, and vacuum transfer chamber 52 are hermetically isolated.

この状態で、処理室1では基板18bの真空処
理が行われるが、その一方、予備真空室2におい
ては、予備真空容器32が取り外され、さらに予
備真空基板リフト37の上下動および基板搬送機
構49の搬送移動が行われ、真空処理済み基板1
8aの搬出と、基板ホルダ3から次の基板18の
搬入が達成される。そして、この未処理基板18
が予備真空基板載置部34に載置された後に予備
真空容器32が再装着され、第2の排気系47の
排気動作により予備真空室2の真空排気が行われ
る。このように未処理基板18の予備真空室2へ
の導入から真空処理済み基板の取り出しに至る一
連のプロセスを繰り返すことにより、複数の基板
の真空処理が順次自動的に行われるのである。
In this state, vacuum processing of the substrate 18b is performed in the processing chamber 1, while in the pre-vacuum chamber 2, the pre-vacuum container 32 is removed, and the pre-vacuum substrate lift 37 is moved up and down, and the substrate transport mechanism 49 The vacuum-treated substrate 1
The unloading of the substrate 8a and the loading of the next substrate 18 from the substrate holder 3 are accomplished. Then, this unprocessed substrate 18
is placed on the pre-vacuum substrate mounting section 34, the pre-vacuum container 32 is reinstalled, and the pre-vacuum chamber 2 is evacuated by the evacuation operation of the second exhaust system 47. In this way, by repeating a series of processes from introducing the unprocessed substrate 18 into the preliminary vacuum chamber 2 to taking out the vacuum-treated substrate, vacuum processing of a plurality of substrates is automatically performed in sequence.

この場合、処理室1および真空搬送室52の真
空圧が常時真空ゲージ30および同46によつて
検出されており、真空圧が低下した場合にはその
都度第1の排気系31および第2の排気系47を
排気動作させて前記真空圧を常時最適圧力に保つ
のである。
In this case, the vacuum pressure in the processing chamber 1 and vacuum transfer chamber 52 is constantly detected by the vacuum gauges 30 and 46, and whenever the vacuum pressure decreases, the first exhaust system 31 and the second The exhaust system 47 is operated to perform an exhaust operation to maintain the vacuum pressure at an optimum level at all times.

上記のように、本実施例によれば、予備真空室
2に基板を出入する際には、該予備真空室2と真
空搬送室4および処理室1とは完全に隔絶されて
おり、しかも外部に対する基板の出入口は予備真
空室2のみの1個所に限定されるから、この基板
の外部に対する出入によつて処理室1および真空
搬送室52が大気侵入によつて汚染されるという
ことがない。また、予備真空室2は基板を1枚収
容するに必要な容積でよいから、非常に小空間と
することができる。したがつて、予備真空室2の
真空排気時間を短縮することが可能となり、基板
処理の作業能率を改善でき、半導体基板製造の量
産を図る上で有利である。さらに、予備真空室2
と処理室1間を基板搬送する基板搬送機構48は
予備真空室2および処理室1の各室内に分散配置
することなく、真空搬送室52内に一括して配置
されているので、全体の装置構成を大幅に簡易化
でき、また、各室内に分散配置した基板搬送機構
を相互に調整しなければならないという従来の複
雑かつ面倒な作業から解放されるという利益があ
る。さらに、処理室1の処理容器8は着脱自在に
構成されているから、基板の真空処理の態様を変
更する場合には、それに対応する処理容器8を装
着すればよく、したがつて、従来例の複雑かつ面
倒な作業を要することなく基板処理態様の変更に
伴う装置変更を極めて容易に行うことができる。
その場合、処理室1の真空排気時には該処理室1
内と大気との圧力差によつて処理容器8は取り付
け面に押圧保持されるので、処理容器8の装着機
構の機械的構成を簡易化することが可能となる。
そして、この処理室1の変更や処理室1の保守点
検等は該処理室1を予備真空室2および真空搬送
室52と隔絶状態で行われるから、その変更や点
検作業の完了後に装置全体を稼動可能な真空状態
に回復する時間を短くできるという利点がある。
As described above, according to this embodiment, when a substrate is taken into or out of the preliminary vacuum chamber 2, the preliminary vacuum chamber 2, the vacuum transfer chamber 4, and the processing chamber 1 are completely isolated, and the Since the entrance and exit of the substrate to and from the vacuum chamber 2 is limited to only one location, the processing chamber 1 and the vacuum transfer chamber 52 will not be contaminated by air intrusion due to the entry and exit of the substrate from the outside. Further, since the preliminary vacuum chamber 2 only has a volume necessary to accommodate one substrate, it can be made into a very small space. Therefore, it becomes possible to shorten the evacuation time of the preparatory vacuum chamber 2, improve work efficiency in substrate processing, and is advantageous in mass production of semiconductor substrates. Furthermore, the preliminary vacuum chamber 2
The substrate transport mechanism 48 that transports the substrate between the auxiliary vacuum chamber 2 and the processing chamber 1 is not distributed among the preparatory vacuum chamber 2 and the processing chamber 1, but is centrally located within the vacuum transport chamber 52, so that the overall system There is an advantage that the configuration can be greatly simplified, and the conventional complicated and troublesome work of having to mutually adjust substrate transport mechanisms distributed in each room is freed. Further, since the processing container 8 of the processing chamber 1 is configured to be detachable, when changing the mode of vacuum processing of the substrate, it is only necessary to attach the corresponding processing container 8. It is possible to extremely easily change the apparatus in accordance with changes in the substrate processing mode without requiring complicated and troublesome operations.
In that case, when the processing chamber 1 is evacuated, the processing chamber 1
Since the processing container 8 is pressed and held against the mounting surface due to the pressure difference between the inside and the atmosphere, the mechanical configuration of the mounting mechanism for the processing container 8 can be simplified.
Changes to the processing chamber 1 and maintenance and inspection of the processing chamber 1 are performed while the processing chamber 1 is isolated from the preliminary vacuum chamber 2 and the vacuum transfer chamber 52. Therefore, after the changes and inspections are completed, the entire apparatus is This has the advantage that the time required to recover the vacuum state for operation can be shortened.

なお、上記実施例では第1の電極16に高周波
電力を印加し、第2の電極25に直流電圧を印加
しているが、これを逆にし、第1の電極16に直
流電圧を印加し、第2の電極に高周波電力を印加
するようにしてもよい。
Note that in the above embodiment, high frequency power is applied to the first electrode 16 and DC voltage is applied to the second electrode 25, but this is reversed and a DC voltage is applied to the first electrode 16, High frequency power may be applied to the second electrode.

(発明の効果) 本発明は以上説明したような構成と作用とを有
しているので、予備真空室における基板の出入に
よつて処理室や基板搬送室が大気侵入により汚染
されるということがなく、したがつて再現性のよ
い極めて高品質の基板真空処理を達成できる。
(Effects of the Invention) Since the present invention has the configuration and operation described above, it is possible to prevent the processing chamber and the substrate transfer chamber from being contaminated by air intrusion due to the entry and exit of substrates in the preliminary vacuum chamber. Therefore, very high quality substrate vacuum processing with good reproducibility can be achieved.

また、予備真空室は小型に、つまり、室内の容
積を小さく構成しており、しかも外部に対する基
板の出入個所は1個所のみであるから、予備真空
室の真空排気の時間短縮および処理室の真空圧の
低下防止を図ることが可能となり、これにより、
基板処理の作業能率の改善を行うことができる。
In addition, the pre-vacuum chamber is small, that is, the volume of the chamber is small, and there is only one point where the substrate enters and exits from the outside, so the time for evacuation of the pre-vacuum chamber is reduced and the vacuum of the processing chamber is reduced. It is now possible to prevent pressure drop, and as a result,
The work efficiency of substrate processing can be improved.

さらに、処理室の処理容器を交換することによ
り処理室の変更を極めて容易に行うことが可能と
なり、これにより、本発明の装置を多目的用途に
効果的に活用することができる。
Furthermore, the processing chamber can be changed very easily by replacing the processing container in the processing chamber, and thus the apparatus of the present invention can be effectively utilized for multiple purposes.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明に係る一実施例の構成を示す断
面図、第2図は本実施例の作用を示す断面図、第
3図乃至第5図は従来例の装置構成図である。 1……処理室、2,2a,2b……予備真空
室、3……基板ホルダ、4……基板搬送室、5…
…頂壁、6,7……設置穴、8……処理容器、9
……シール部材、10……処理基板載置部、11
……気密板、12……筒壁、13……底壁、14
……挿通孔、15……駆動軸、16……第1の電
極、17……凹部、18,18a,18b……基
板、19……押し上げ板、20……押し上げ棒、
21……処理基板リフト、22,22a,23…
…シール部材、24……高周波電源、25……第
2の電極、26……ガスの導入系、27……排気
ポート、28……バルブ、29……真空ポンプ、
30……真空ゲージ、31……第1の排気系、3
2……予備真空容器、33……シール部材、34
……予備真空基板載置部、35……気密板、36
……筒壁、37……予備真空基板リフト、38…
…駆動軸、39……押し上げ棒、40……シール
部材、41……挿通孔、42……シール部材、4
3,44……バルブ、45……真空ポンプ、46
……真空ゲージ、47……第2の排気系、48,
49……基板搬送機構、50……中継ステージ、
51a〜51i……バルブ、52……真空搬送
室、53……排気ポート。
FIG. 1 is a sectional view showing the structure of an embodiment according to the present invention, FIG. 2 is a sectional view showing the operation of this embodiment, and FIGS. 3 to 5 are diagrams showing the structure of a conventional device. 1...Processing chamber, 2, 2a, 2b...Preliminary vacuum chamber, 3...Substrate holder, 4...Substrate transfer chamber, 5...
...Top wall, 6,7...Installation hole, 8...Processing container, 9
... Seal member, 10 ... Processed substrate mounting section, 11
... Airtight plate, 12 ... Cylinder wall, 13 ... Bottom wall, 14
... Insertion hole, 15 ... Drive shaft, 16 ... First electrode, 17 ... Recess, 18, 18a, 18b ... Substrate, 19 ... Push-up plate, 20 ... Push-up rod,
21...processed substrate lift, 22, 22a, 23...
... Seal member, 24 ... High frequency power supply, 25 ... Second electrode, 26 ... Gas introduction system, 27 ... Exhaust port, 28 ... Valve, 29 ... Vacuum pump,
30... Vacuum gauge, 31... First exhaust system, 3
2... Preliminary vacuum container, 33... Seal member, 34
...Preliminary vacuum substrate mounting section, 35...Airtight plate, 36
...Cylinder wall, 37...Preliminary vacuum substrate lift, 38...
... Drive shaft, 39 ... Push-up rod, 40 ... Seal member, 41 ... Insertion hole, 42 ... Seal member, 4
3, 44...Valve, 45...Vacuum pump, 46
...Vacuum gauge, 47...Second exhaust system, 48,
49...Substrate transport mechanism, 50...Relay stage,
51a-51i... Valve, 52... Vacuum transfer chamber, 53... Exhaust port.

Claims (1)

【特許請求の範囲】 1 各々真空排気系を有する予備真空室と真空搬
送室と処理室とを備え、大気中から基板を一枚
毎、該予備真空室に挿入し、該基板を該真空搬送
室を経由して該処理室に搬送し、該基板を該処理
室で真空処理したのち、再び該基板を該真空搬送
室を経由して該予備真空室に戻し、該予備真空室
より該基板を大気中に取出す真空処理装置におい
て、前記予備真空室および処理室は、前記真空搬
送室の壁面に形成された一対の設置穴部に着脱自
在に設けられ、該穴部を大気側から気密に覆う各
別の容器部と、真空搬送室の室内側から該容器部
に対向状態で進退移動自在に設けられ、その進出
移動によつて該容器部を真空搬送室から隔絶して
気密な室空間を形成する各別の基板載置部とによ
つて構成されており、また該基板載置部には、載
置される基板を搬送経路位置に移動する基板移動
機構を設けるとともに真空搬送室内に該移動機構
によつて搬送経路位置に移動された基板を所定位
置に搬送する基板搬送機構を設けたことを特徴と
する真空処理装置。 2 処理室を形成する基板載置部に直流ないし交
流電力が印加される電極を設けたことを特徴とす
る特許請求の範囲第1項記載の真空処理装置。
[Claims] 1. A preliminary vacuum chamber, a vacuum transfer chamber, and a processing chamber each having an evacuation system are provided, and substrates are inserted one by one from the atmosphere into the preliminary vacuum chamber, and the substrates are transferred to the vacuum transfer chamber. After the substrate is vacuum-processed in the processing chamber, the substrate is returned to the pre-vacuum chamber via the vacuum transfer chamber, and the substrate is transferred from the pre-vacuum chamber to the pre-vacuum chamber. In a vacuum processing apparatus that takes out a substance into the atmosphere, the preliminary vacuum chamber and the processing chamber are removably provided in a pair of installation holes formed in the wall surface of the vacuum transfer chamber, and the holes are airtight from the atmosphere side. Separate container parts are provided to cover the vacuum transfer chamber, and the container parts are movably moved forward and backward from the indoor side of the vacuum transfer chamber in a state opposite to the container parts, and the container parts are isolated from the vacuum transfer chamber by moving forward and backward, thereby creating an airtight chamber space. The substrate mounting section is provided with a substrate moving mechanism for moving the substrate to be placed on the transfer path position, and the substrate mounting section is provided with a substrate moving mechanism for moving the substrate to be placed on the transfer path position. A vacuum processing apparatus comprising a substrate transport mechanism that transports a substrate moved to a transport path position by the movement mechanism to a predetermined position. 2. The vacuum processing apparatus according to claim 1, wherein an electrode to which direct current or alternating current power is applied is provided on the substrate platform forming the processing chamber.
JP28472485A 1985-12-18 1985-12-18 Vacuum treatment device Granted JPS62142791A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28472485A JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28472485A JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Publications (2)

Publication Number Publication Date
JPS62142791A JPS62142791A (en) 1987-06-26
JPS6345467B2 true JPS6345467B2 (en) 1988-09-09

Family

ID=17682162

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28472485A Granted JPS62142791A (en) 1985-12-18 1985-12-18 Vacuum treatment device

Country Status (1)

Country Link
JP (1) JPS62142791A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003168712A (en) * 2001-11-30 2003-06-13 Japan Steel Works Ltd:The Method and device for transporting substrate in load- lock device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0394070A (en) * 1989-09-05 1991-04-18 Kokusai Electric Co Ltd Cvd device
JP2002198411A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Pressure control method, transfer apparatus, and cluster tool
JP2006324367A (en) * 2005-05-18 2006-11-30 Disco Abrasive Syst Ltd Plasma etching system
JP2010089014A (en) * 2008-10-08 2010-04-22 Jpe:Kk Plasma cleaning apparatus
CN103866282B (en) * 2012-12-14 2016-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 Pecvd device
WO2014103677A1 (en) * 2012-12-26 2014-07-03 麒麟麦酒株式会社 Thin film deposition device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003168712A (en) * 2001-11-30 2003-06-13 Japan Steel Works Ltd:The Method and device for transporting substrate in load- lock device

Also Published As

Publication number Publication date
JPS62142791A (en) 1987-06-26

Similar Documents

Publication Publication Date Title
US4816638A (en) Vacuum processing apparatus
US8623471B2 (en) Plasma treatment system
KR890002837B1 (en) Continuous sputtering apparatus
CA1059465A (en) Vacuum sputtering apparatus and method
US6536136B2 (en) Substrate transfer apparatus and substrate method
US4522697A (en) Wafer processing machine
WO1985002867A1 (en) Wafer processing machine
WO2000028587A1 (en) Processing device
JP7158133B2 (en) Atmosphere-controlled transfer module and processing system
JPS6345467B2 (en)
JPH11158618A (en) Deposition apparatus for subjecting substantially flat disk type substrate to deposition treatment
KR100196036B1 (en) Plasma treating apparatus and operating method therefor
JP3671983B2 (en) Vacuum processing equipment
TW200929352A (en) Vacuum processing apparatus
US4703183A (en) Ion implantation chamber purification method and apparatus
JPH0613751B2 (en) Continuous sputtering equipment
JP3276382B2 (en) Vacuum processing device and vacuum processing method
JP2002328105A (en) X-ray analyzer improved in sealability for spare vacuum chamber
JP2939378B2 (en) Vacuum processing equipment
JP7145337B2 (en) Foreign matter measurement method for vacuum processing equipment
JPH0375631B2 (en)
JP2778020B2 (en) Surface treatment equipment
JP2676678B2 (en) Continuous sputtering method
JP2002252261A (en) Semiconductor test system and semiconductor aligner
JPH06267898A (en) Vacuum treatment device

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term