JPS61269084A - Logical circuit with data scan circuit - Google Patents

Logical circuit with data scan circuit

Info

Publication number
JPS61269084A
JPS61269084A JP60285517A JP28551785A JPS61269084A JP S61269084 A JPS61269084 A JP S61269084A JP 60285517 A JP60285517 A JP 60285517A JP 28551785 A JP28551785 A JP 28551785A JP S61269084 A JPS61269084 A JP S61269084A
Authority
JP
Japan
Prior art keywords
latch
circuit
scan
circuits
latches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60285517A
Other languages
Japanese (ja)
Other versions
JPH0782071B2 (en
Inventor
Takao Nishida
隆夫 西田
Toru Hiyama
檜山 徹
Iku Moriwaki
森脇 郁
Takashi Ishiyama
石山 俊
Shunsuke Miyamoto
宮本 俊介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP60285517A priority Critical patent/JPH0782071B2/en
Publication of JPS61269084A publication Critical patent/JPS61269084A/en
Publication of JPH0782071B2 publication Critical patent/JPH0782071B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

PURPOSE:To relieve a design restriction and increase an operational speed by supplying scan data produced from a plurality of selector circuits to a scan data output terminal commonly provided to a plurality of combinational circuits. CONSTITUTION:For first latches 211A-213A for supplying or receiving data to or from combinational circuits 100-120, are provided second latches 211B-213B and selectors 3-1-3-3, the latter for selecting the output of the first and second latches in first and second modes, respectively. In an inspection operation, the output of the first latches is transferred to the second latches and the selectors are operated in the second mode. Thus, the logical restrictions of a common-mode transfer and a clutch loop can be removed and an operational speed can be increased.

Description

【発明の詳細な説明】 〔発明の利用分野〕 本発明は論理回路の診断を容易化するためのデータスキ
ャン回路を有する論理回路に関する。
DETAILED DESCRIPTION OF THE INVENTION [Field of Application of the Invention] The present invention relates to a logic circuit having a data scan circuit for facilitating diagnosis of the logic circuit.

〔発明の背景〕[Background of the invention]

大規模論理回路の検査性向上のために、既存の回路にス
キャン・パスを付加し、複数・大規模な順序回路をラッ
チで囲まれた小模模な組合せ回路に分割する方法が用い
られる。以下で例を用いて説明する。
To improve the testability of large-scale logic circuits, a method is used that adds scan paths to existing circuits and divides multiple large-scale sequential circuits into small combinational circuits surrounded by latches. This will be explained below using an example.

第1図は診断の対象となる順序回路の一例を示したもの
である。順序回路はラッチを内部に含まない複数の部分
回路(組合せ回路)と複数のラッチに分割できる。第1
図において100,110゜120はそのような組合せ
回路を示し、ラッチ201〜203は、そのようなラッ
チを例示する。
FIG. 1 shows an example of a sequential circuit to be diagnosed. A sequential circuit can be divided into a plurality of partial circuits (combinational circuits) that do not include latches and a plurality of latches. 1st
In the figure, 100, 110.degree. 120 indicates such a combinational circuit, and latches 201-203 illustrate such latches.

710.720,730は順序回路1への入力データを
受は取るシステム入力端子である。740は順序回路1
からの出力データを送り出すシステ出力端子である。ラ
ッチ201〜203はシステム・クロックC1(i=1
.2又は3)がオン状態のときにそれぞれに入力される
システム・データD、(i=1.2又は3)の値を取り
込み、保持する。組合せ回路100,110.120は
、それぞれにシステム入力端子720,730あるいは
ラッチ201,202,203から入力されるシステム
データに拡存したシステムデータをそれぞれの出力端子
に接続されたラッチ202゜203あるいは、システム
出力端子740に出力する。本実施例では、組合せ回路
(1)100は端子720,730からデータD。、D
o′が入力されて組合せ回路(2)110はラッチ20
1の出力データD1が入力される。組合せ回路(3)1
20は、ラッチ202,203の出力データD2e D
!が入力される。このような順序回路1においては、各
組合せ回路による論理演算結果D1をクロックに応答し
てその組合せ回路の出力側のラッチに格納し、後段の組
合せ回路に伝えられることにより、システム入力端子7
10,720゜730に与えられる入力信号に対応した
所定の出力信号をシステム出力端子740に得ることが
できる。この順序回路中にフィードバック・ループがあ
る場合も全く同様に動作するにのような順序回路1にお
いては集積度が増加し、システム入力端子710,72
0,730からシステム出力端子74〇八到るパス上の
論理ゲート数が増えるに従い、回路中の故障を出検する
ことが急激に困難となる。
710, 720, and 730 are system input terminals that receive input data to the sequential circuit 1. 740 is sequential circuit 1
This is a system output terminal that sends output data from the . Latches 201 to 203 are connected to system clock C1 (i=1
.. The values of system data D, (i=1.2 or 3) that are input to each of them when D, (i=1.2 or 3) are on are taken in and held. The combinational circuits 100, 110, 120 transmit system data expanded to system data inputted from system input terminals 720, 730 or latches 201, 202, 203, respectively, to latches 202, 203 or 203 connected to their respective output terminals. , is output to the system output terminal 740. In this embodiment, the combinational circuit (1) 100 receives data D from terminals 720 and 730. ,D
o′ is input and the combinational circuit (2) 110 becomes the latch 20.
1 output data D1 is input. Combinational circuit (3) 1
20 is the output data D2e D of the latches 202 and 203
! is input. In such a sequential circuit 1, the logical operation result D1 of each combinational circuit is stored in a latch on the output side of the combinational circuit in response to a clock, and is transmitted to the combinational circuit in the subsequent stage, whereby the system input terminal 7
A predetermined output signal corresponding to the input signal provided at 10,720° 730 can be obtained at system output terminal 740. Even if there is a feedback loop in the sequential circuit, the sequential circuit 1 operates in exactly the same way.
As the number of logic gates on the path from 0.730 to system output terminal 7408 increases, it becomes increasingly difficult to detect failures in the circuit.

この問題点の解決のために広く用いられている手法がス
キャン・パス法である6本手法では回路中の全ラッチに
対し、ラッチの制御、観測を容易化するためのアクセス
・パスを付加する。これにより、回路中の各ラッチをあ
たかもシステム入力端子、またはシステム出力端子のご
とく取り扱えるため1回路全体を複数の組合せ回路に分
割することが可能であり診断が飛躍的に容易となる。ス
キャン・パスの付加法の代表例としては、文献1(“A
 Logic Design 5tructure f
or LSI Testing”tDA Confer
ence、  1977、462〜468p)で示され
るシステム・スキャン方式と文献2(” Testin
g VLSI with Rando+n Acces
s 5can ”。
A widely used method to solve this problem is the scan path method.6 In this method, access paths are added to all latches in the circuit to facilitate control and observation of the latches. . This allows each latch in the circuit to be handled as if it were a system input terminal or system output terminal, making it possible to divide one entire circuit into a plurality of combinational circuits, which greatly facilitates diagnosis. As a typical example of the scan path addition method, see Reference 1 (“A
Logic Design 5structure f
or LSI Testing”tDA Conference
ence, 1977, pp. 462-468) and the system scan method presented in Reference 2 ("Testin
g VLSI with Rando+n Accesses
s5can”.

C0NPCON、 1980 、50〜52p)で示さ
れるランダム・スキャン方式とがあげられる。
The random scan method shown in C0NPCON, 1980, 50-52 p.

システム・スキャン方式の回路構成例を第2図に示す、
第1図中のすべてのラッチ201〜203はスキャン機
能付きラッチ211〜213で置換される。スキャン機
能付きラッチ211゜212.213はそれぞL1ラッ
チ211A。
An example of the circuit configuration of the system scan method is shown in Figure 2.
All latches 201-203 in FIG. 1 are replaced with latches 211-213 with scanning functions. Latches 211, 212, and 213 with scan function are L1 latches 211A, respectively.

212A又は213AとL2ラッチ211B。212A or 213A and L2 latch 211B.

212B又は213Bから構成される。L1ラッチ21
1A、212A又は213Aは従来ラッチと同様にシス
テム・クロックC+(i=1.2又は3)がオン状態の
ときにシステム・データD□。
212B or 213B. L1 latch 21
1A, 212A, or 213A is the system data D□ when the system clock C+ (i=1.2 or 3) is in the on state, similar to the conventional latch.

D21又はD3に取り込み、保持するのみならず、シフ
ト・クロックAがオン状態のときには、スキャン・イン
・ピンSIからの入力270−1.L2ラッチ211B
の出力270−2.L2ラッチ212Bの出力270−
3を取り込み、保持するひとができる。L2ラッチ21
1B、212B又は213Bは以下で説明するシフト動
作の安定化のために設けられたラッチであり、シフト・
クロックBがオン状態のときにL1ラッチ211A、2
12A又は213Aの出力を取り込み、保持する。した
がってすべてのL1ラッチ211A。
In addition to being captured and held in D21 or D3, when shift clock A is on, the inputs 270-1. L2 latch 211B
Output 270-2. Output 270- of L2 latch 212B
A person will be able to take in and retain 3. L2 latch 21
1B, 212B, or 213B is a latch provided for stabilizing the shift operation, which will be explained below.
When clock B is on, L1 latch 211A, 2
Capture and hold the output of 12A or 213A. Therefore all L1 latches 211A.

212A、213AとL2ラッチ211 B、212B
、213Bはスキャン・イン・ピンSIからスキャン・
アウト・ピンSoに到るシステム・ストリングとして連
結される。診断動作時には、シフト・クロックA、Bを
交互に送出することにより、スキャン・イン・ピンSI
から任意の値をラッチ211.212,213に容易に
設定可能であるし、またラッチ211,212,213
の内容をスキャン・アウト・ピンSoで容易に観測可能
である。通常動作時にはシフト・クロックA、Bを定常
的にオフ状態とすることにより、第1図で示した回路と
全く同じ動体をさせることが可能である。つまり、L1
ラッチ211A、212A。
212A, 213A and L2 latch 211B, 212B
, 213B is the scan in pin SI to scan in pin SI.
Connected as a system string to out pin So. During diagnostic operation, by alternately sending shift clocks A and B, scan-in pin SI
It is possible to easily set any value to the latches 211, 212, 213 from latches 211, 212, 213.
The contents can be easily observed with the scan out pin So. By constantly turning off shift clocks A and B during normal operation, it is possible to create a moving object exactly the same as the circuit shown in FIG. 1. In other words, L1
Latches 211A, 212A.

213Aが第1図の従来のラッチ201,202゜20
3に相当し、L2ラッチ211B、212B。
213A is the conventional latch 201, 202° 20 of FIG.
3, L2 latches 211B and 212B.

213Bは透明となる6 ランダム・スキャン方式の回路構成例を第3図に示す。213B becomes transparent6 An example of the circuit configuration of the random scan method is shown in FIG.

すべてのラッチに固有のアドレスが割り当てられ、スキ
ャン機能付きラッチ221・〜223で置換される6ス
キヤン機能付きラッチ221〜223は第1回の従来ラ
ッチ201〜203と同様にシステム・クロックct(
i=1+2又は3)がオン状態のときにシステム・デー
タc、(i=1〜3)を取り込み保持するのみならず、
それぞれのラッチが選択されかつスキャン・クロックA
がオン状態のときに、スキャン・イン・ピンSIの値2
80を取り込み、保持することができる。また、それぞ
れのラッチ221〜223が選択された場合には、その
内容をスキャン・アウト・ピンSOで観測することがで
きる。ラッチ221〜223の選択のために、アドレス
・ピンAE)とアドレス・デコーダ300を付加する。
Unique addresses are assigned to all latches, and the latches with scan functions 221 to 223 replace the latches with six scan functions.Similar to the first conventional latches 201 to 203, the latches with the scan function are connected to the system clock ct (
It not only captures and holds system data c, (i=1 to 3) when i=1+2 or 3) is in the on state;
Each latch is selected and scan clock A
is in the on state, the value of scan in pin SI is 2.
80 can be captured and retained. Additionally, when each latch 221-223 is selected, its contents can be observed at scan out pin SO. Address pins AE) and address decoders 300 are added for selection of latches 221-223.

デコーダ  300でアドレスをデコードして生成され
る選択信号302−i(i=1〜3)はそれぞれ対応す
るラッチ221,222又は223に分配される。各ラ
ッチ221〜223ごとに、この選択信号 302−i
はスキャン・クロックAとANDされ診断用のクロック
信号となり、また各ラッチ  221,222又は22
3の出力信号とANDされスキャン・アウト信号となる
。すべてのラッチ221〜223のスキャン・アウト信
号はゲート330でORされ、スキャン・アウト・ピン
Soで観測される。診断動作時には着目するラッチ 2
21,222又は223のアドレスADをデコーダ30
0に入力し、スキャン・クロックAを送出することによ
り、任意の値をスキャン・イン・ピンから上記着目する
ラッチ221゜222又は223に設定可能である。ま
た、クロックAを送出しないで着目するラッチのアドレ
スADを入力することにより、当該ラッチの内容をスキ
ャン・アウト・ピンSOで観測可能である。
A selection signal 302-i (i=1 to 3) generated by decoding the address in the decoder 300 is distributed to the corresponding latch 221, 222 or 223, respectively. This selection signal 302-i for each latch 221 to 223
is ANDed with scan clock A to become a diagnostic clock signal, and each latch 221, 222 or 22
It is ANDed with the output signal of No. 3 and becomes a scan out signal. The scan out signals of all latches 221-223 are ORed at gate 330 and observed at scan out pin So. Latches to pay attention to during diagnostic operation 2
The decoder 30 decodes the address AD of 21, 222 or 223.
By inputting 0 and sending the scan clock A, any value can be set from the scan in pin to the latch 221, 222 or 223 of interest. Furthermore, by inputting the address AD of the latch of interest without sending out the clock A, the contents of the latch can be observed at the scan out pin SO.

通常動作時にはスキャン・クロックAを定常的にオフ状
態とすることにより、第1図で示した回路と全く同じ動
作をさせることが可能である。
By constantly turning off the scan clock A during normal operation, it is possible to operate exactly the same as the circuit shown in FIG. 1.

第2図、第3図に示したようにスキャン・パスを有する
回路においては1分割された組合せ回路ごとに以下の手
順で検査することができる。
In a circuit having a scan path as shown in FIGS. 2 and 3, each divided combinational circuit can be tested by the following procedure.

(1)その組合せ回路の入力側ラッチにテスト・パター
ンをスキャン・インする。
(1) Scan the test pattern into the input side latch of the combinational circuit.

(2)出力側ラッチのシステム・クロックを送出し、シ
ステム・データを取り込む。これを、クロック・アドバ
ンスという。
(2) Send the system clock to the output side latch and take in the system data. This is called clock advance.

(3)出力側ラッチから、その取り込んだデータト をスキャン・アウトし、あらかじめ求めてお     
  6゜いた期待値と比較する。
(3) Scan out the captured data from the output side latch and
Compare with the expected value of 6°.

以上の処理を全テスト・バタン、全組合せ回路に対して
繰り返す。このような検査方式が確実に       
j(動作することを保証するために1通常いくつかの 
      ″論理設計上の制約が課される。その1つ
が同相転送の禁止である。つまり、入力側ラッチと出力
側ラッチのシステム・クロックが同相であることを禁止
する。例えば第2図において、LLラッチ211Aのク
ロックC□とL1ラッチ213AのクロックC3は同相
であってはならない。また、第3図においてラッチ22
1のクロックC工とラッチ223のクロックC3は同相
であってはならない。何故なら、クロック・アドバンス
時に前もってスキャン・インしておいた入力側ラッチの
値が変化する場合があり、この変化した値が出力側ラッ
チに取り込まれる危険性があるので安定した動作を保証
できないからである。これは検査時に用いるシステム・
クロックのパルス巾が実動作時のシステム・クロックの
パルス巾よりも長大であり、同相ラッチに狭まれた組合
せ回路における信号の最小遅延時間を超えてしまうこと
に起因している。つまり、システム・クロックの巾が短
かいときには、この最小遅延時間により同相ラッチであ
っても、入力のラッチから出力のラッチに信号が至るま
でに、システム・クロックが消失してしまい、先に入力
した信号に影響を及ぼさない。一方システム・クロック
の巾が長いときには入力のラッチから出力のラッチまで
信号が至ってもシステム・クロックが消失していないた
め、先の信号を喪失してしまう。ラッチの出力が他のラ
ッチを介さずに自分自身の入力となる(1ラツチ・ルー
プ)場合も、同相転送と同じ理由で禁止される。
The above process is repeated for all test buttons and all combinational circuits. This type of inspection method is reliable
j (1 usually several to ensure it works)
``Logical design constraints are imposed. One of them is the prohibition of in-phase transfer. In other words, it is prohibited that the system clocks of the input side latch and the output side latch are in the same phase.For example, in Fig. 2, LL The clock C□ of the latch 211A and the clock C3 of the L1 latch 213A must not be in phase.
The clock C of latch 223 and the clock C3 of latch 223 must not be in phase. This is because the value of the input latch that has been scanned in in advance during clock advance may change, and there is a risk that this changed value will be incorporated into the output latch, so stable operation cannot be guaranteed. It is. This is the system used during inspection.
This is due to the fact that the pulse width of the clock is longer than the pulse width of the system clock during actual operation, and exceeds the minimum delay time of a signal in the combinational circuit narrowed by the in-phase latch. In other words, when the width of the system clock is short, even if the signal is an in-phase latch due to this minimum delay time, the system clock is lost by the time the signal reaches the output latch from the input latch. does not affect the signal. On the other hand, when the width of the system clock is long, even if the signal reaches from the input latch to the output latch, the system clock is not lost, so the previous signal is lost. The case where the output of a latch becomes its own input without going through another latch (one latch loop) is also prohibited for the same reason as in-phase transfer.

このような論理設計上の制約を解消するために、一般的
に用いられる方法の1つとして、例えば日経エレクトロ
ニクス(1979,4,16p、57−79特に図6の
「テスト容易な回路構造によりLSIの故障検出率を大
幅に改善」)に示されるように、第2図のラッチ211
〜213をマスク・スレーブ構成とし、スレーブ・ラッ
チを診断用に設けたクロックで制御する方法がある。そ
の−例を第4図に示す。すなわちマスタラッチ(LLク
ラッチ211A、212A、213Aの出力ではなくス
レーブラッチ(L2ラッチ)211B。
One of the commonly used methods to resolve such logical design constraints is, for example, Nikkei Electronics (1979, 4, 16 p., 57-79). The latch 211 in FIG.
There is a method in which 213 is configured as a mask slave and the slave latch is controlled by a clock provided for diagnosis. An example of this is shown in FIG. That is, the output of the master latch (LL clutches 211A, 212A, 213A) is not the slave latch (L2 latch) 211B.

212B、213Bの出力270−2〜27〇−4をシ
ステム・データD、として用いる。従って、前段の組合
せ回路、例えば110から出力されるシステム・データ
D3を取り込んで、次段の組合せ回路120に伝えるた
めには、システム・クロックC2を送出して、L1ラッ
チ213AへデータD3を取込んだ後さらにシフト・ク
ロックBを送出してL□クラッチ13AからL2ラッチ
213BへデータD3を転送する必要があり、シフト・
クロックBは通常動作時と診断動作時の両モードで使用
される。このような回路構成の場合にはラッチ211と
213のシステムクロックC1,C3は同相であっても
、前述したような診断上の問題は生じない。何故なら、
この際システム・クロックC3を送出したとき同時にシ
ステム・クロックc1も送出され入力側のL1ラッチ2
11Aの出力はシステム入力端子710の値に対応して
変化する可能性はあるが、シフト・クロックBはオフ状
態にあるのでL2ラッチ211Bの出力は変化せず、従
って組合せ回路(2)110の出力D3はしないからで
ある。しかし、このようなマスク・スレーブ構成装置で
は1通常動作時にクロックCiとBの二つのクロックに
よりデータの転送が行なわれるため、通常動作時のシス
テム・ディレィの増加を持たらし、論理回路の動作速度
を低下させるという欠点がある。例えばラッチ211の
システム・データ入力ピンから、ラッチ213のシステ
ム・データ入力ピンに到る信号パスにおいて、ラッチ2
11内はL2ラッチ211Bのディレィ分たけ、第2図
の場合に比ベパス・ディレィが増加する。
The outputs 270-2 to 270-4 of 212B and 213B are used as system data D. Therefore, in order to capture the system data D3 output from the previous stage combinational circuit, for example 110, and transmit it to the next stage combinational circuit 120, the system clock C2 is sent out and the data D3 is fetched to the L1 latch 213A. After the shift clock is input, it is necessary to send out the shift clock B to transfer the data D3 from the L□ clutch 13A to the L2 latch 213B.
Clock B is used in both normal and diagnostic modes. In the case of such a circuit configuration, even if the system clocks C1 and C3 of the latches 211 and 213 are in phase, the above-mentioned diagnostic problem does not occur. Because,
At this time, when the system clock C3 is sent out, the system clock c1 is also sent out at the same time, and the L1 latch 2 on the input side
Although the output of L2 latch 211B may change depending on the value of system input terminal 710, since shift clock B is in the off state, the output of L2 latch 211B does not change, so the output of combinational circuit (2) 110 does not change. This is because the output D3 is not output. However, in such a mask-slave configuration device, data transfer is performed using two clocks, Ci and B, during normal operation, resulting in an increase in system delay during normal operation and delay in logic circuit operation. The disadvantage is that it reduces speed. For example, in the signal path from the system data input pin of latch 211 to the system data input pin of latch 213, latch 2
11 is the delay of the L2 latch 211B, and the relative path delay increases in the case of FIG.

つまり、設計制約の緩和と動作速度向上との間にはトレ
ード・オフがあり、従来のスキャン回路構成では両者を
満足させることが困難である。
In other words, there is a trade-off between easing design constraints and improving operating speed, and it is difficult to satisfy both with conventional scan circuit configurations.

〔発明の目的〕[Purpose of the invention]

本発明の目的は、上記欠点を対策するため、診断上の設
計制約の緩和と動作速度の向上の両者を満足させる、診
断機能付論理回路とその診断方法を提供することにある
SUMMARY OF THE INVENTION An object of the present invention is to provide a logic circuit with a diagnostic function and a method for diagnosing the logic circuit, which satisfies both the relaxation of diagnostic design constraints and the improvement of operating speed, in order to overcome the above-mentioned drawbacks.

、□、4             ;□論理回路を構
成する組合せ回路へデータを供給するかもしくは組合せ
回路からデータを受は取る第1ラツチの各々に対し、第
2のラッチと、第1のモードでは第1のラッチの出力を
第2のモードでは第2のラッチの出力を選択する選択器
を設けた。
, □, 4; □For each first latch that supplies data to or receives data from a combinational circuit forming a logic circuit, a second latch and, in the first mode, a first latch; A selector is provided for selecting the output of the latch in the second mode.

通常動作時には第1のラッチの出力を第2のラッチに移
ざずに、かつ選択器を第1のモードで動作させることに
より、第1のラッチの出方を次段の組合せ回路に供給す
る。これにより、データを第1のラッチから第2のラッ
チに移す従来技術において生じる第2のラッチのディレ
ィ分をなくせる。選択器のディレィ分はさけられないが
、選択器のディレィは一般に第2のラッチのディレィよ
りも小さくすることが可能である。
During normal operation, the output of the first latch is supplied to the next-stage combinational circuit by operating the selector in the first mode without transferring the output of the first latch to the second latch. . This eliminates the delay in the second latch that occurs in the prior art in which data is transferred from the first latch to the second latch. Although the delay of the selector cannot be avoided, the delay of the selector can generally be made smaller than the delay of the second latch.

検査動作時には、第1のラッチの出方を第2のラッチに
移し、かつ、選択器を第2のモードで動作させる。これ
により、同相転送、1ラツチ・ループを含む回路でも安
定した動作を保証することができる。
During the test operation, the output of the first latch is transferred to the second latch, and the selector is operated in the second mode. As a result, stable operation can be guaranteed even in a circuit including in-phase transfer and one latch loop.

〔発明の実施例〕[Embodiments of the invention]

以下1本発明の一実施例を図を用いて説明する。 An embodiment of the present invention will be described below with reference to the drawings.

第5図は第2図又は第4図で示したシフト・スキャン方
式の回路に対して、本発明を適用した例である。第5図
において、第2図又は第4図と同じ参照番号は同じもの
をさす。第2図又は第4図のラッチ211〜213に選
択器3−1〜3−3が付加されている所が新しい。新た
に付加された選択器3−1〜3−3はモード制御信号M
1又はM2が′1′か10′かに従い、L1ラッチ2、
IIA、212A、213Aの出力かL2ラッチ211
B、212B、213Bの出力をそれぞれ選択して出力
する。
FIG. 5 is an example in which the present invention is applied to the shift-scan type circuit shown in FIG. 2 or FIG. 4. In FIG. 5, the same reference numbers as in FIG. 2 or 4 refer to the same thing. What is new is that selectors 3-1 to 3-3 are added to the latches 211 to 213 in FIG. 2 or 4. The newly added selectors 3-1 to 3-3 receive the mode control signal M
1 or M2 is '1' or 10', L1 latch 2,
IIA, 212A, 213A output or L2 latch 211
The outputs of B, 212B, and 213B are selected and output, respectively.

第6図はこの選択器3−i(i=1〜3)の6M08回
路での構成を示したものである。41は6M08回路か
らなるインバータ、42と43は6M08回路からなる
トライステート素子である。
FIG. 6 shows the configuration of this selector 3-i (i=1 to 3) in a 6M08 circuit. 41 is an inverter made of a 6M08 circuit, and 42 and 43 are tristate elements made of a 6M08 circuit.

端子23に与えられたモード制御信号M1又はM2が′
1′ならば端子21に接続されたし1ラツチの出力21
を選択し、逆にモード制御信号M12又はM2が′0′
ならば端子22に接続されたL2ラッチの出力を選択し
、出力端子24から出力する。
The mode control signal M1 or M2 given to the terminal 23 is '
If it is 1', it is connected to terminal 21 and output 21 of 1 latch
is selected, and conversely, mode control signal M12 or M2 is '0'.
If so, the output of the L2 latch connected to terminal 22 is selected and output from output terminal 24.

CMOS回路においてはスレーブ・ラッチヲ構成するの
に6〜8個のトランジスタを必要とするが、選択器はト
ランジスタ2個で可能であり、入力端子21から出力端
子24に到るパス・ディレィをスレーブ・ラッチを通る
パス・ディレィよりも、小さくすることが可能である。
In a CMOS circuit, 6 to 8 transistors are required to configure a slave latch, but the selector can be made with two transistors, and the path delay from the input terminal 21 to the output terminal 24 can be changed to the slave latch. It can be smaller than the path delay through the latch.

次にこのような構成の回路の動作を通常動作時と診断動
作時に分けて、タイム・チャートを用いて説明する。
Next, the operation of the circuit having such a configuration will be explained separately during normal operation and diagnostic operation using time charts.

第7図は第5図で示される回路の通常時の動作を示した
タイム・チャートである。モード制御信号M1とM2は
定常的に11′とする。したがって、選択器3−iによ
りL1ラッチ211A。
FIG. 7 is a time chart showing the normal operation of the circuit shown in FIG. Mode control signals M1 and M2 are constantly set to 11'. Therefore, L1 latch 211A is selected by selector 3-i.

212A、213Aの出力が選択されるので以下の動作
は第2図の回路の通常時の動作と同じある。
Since the outputs of 212A and 213A are selected, the following operation is the same as the normal operation of the circuit shown in FIG.

また、シフト・クロック信号AとBは定常的に′0′と
する。第5図においてはシステムクロックCt 、 C
2、C3は後述するように同相でも問題がないので、同
一のクロックCからなるものとする。
Further, shift clock signals A and B are constantly set to '0'. In FIG. 5, the system clocks Ct, C
2. Since there is no problem even if C3 is in the same phase as described later, it is assumed that the clocks C3 are the same clock C.

時刻810において、システム入力信号710゜720
.730が変化したものとする。これに対応するシステ
ム出カフ40はシステム・クロックC(= Cx = 
C2= C3)を時刻830と870 ニおいて2回送
出することにより、時刻890で得られる。つまり、シ
ステム入カフ10,720゜730が810で変化した
後、まず組合せ回路1°″″”゛“8°′°”6“°1
°?& 4: M′″830    (、:。
At time 810, the system input signal 710°720
.. 730 has changed. The system output cuff 40 corresponding to this is the system clock C (= Cx =
By sending C2=C3) twice at times 830 and 870, it is obtained at time 890. In other words, after the system input cuff 10, 720°730 changes at 810, first the combinational circuit 1°"""""8°'°"6"°1
°? & 4: M′″830 (,:.

において第1、回目のクロックCの送出が行なわれ  
     1、ると、そのすぐ後にL1ラッチ211A
〜213Aの出力が変化する。L1ラッチ212Aと2
13Aの出力変化により組合せ回路3の出力D4が時刻
840で変化する。これにより出力端子740からのシ
ステム出力も時刻850で変化する。L1ラッチ211
Aの出力変化により、組合せ回路2の出力は時刻860
で変化するが、この影響は第2回目のクロックCの送出
時刻870の後にL1ラッチ213Aに取り込まれ、こ
れにより組合せ回路3の出力D4が時刻880で変化し
、最終システム応答が時刻890で端子740に得られ
ることになる。通常動作時に印加されるシステム・クロ
ックCの巾はL1ラッチ211Aと213A間の最小デ
ィレィ時間に比べて充分に短いので、ラッチ211Aと
213Aのクロックが同相であっても、データのつき抜
けは起きない。
The first clock C is transmitted at
1. Immediately after that, L1 latch 211A
~213A output changes. L1 latch 212A and 2
The output D4 of the combinational circuit 3 changes at time 840 due to the change in the output of 13A. As a result, the system output from output terminal 740 also changes at time 850. L1 latch 211
Due to the change in the output of A, the output of combinational circuit 2 changes to time 860.
However, this effect is captured by the L1 latch 213A after the second clock C transmission time 870, causing the output D4 of the combinational circuit 3 to change at time 880, and the final system response to be output at the terminal at time 890. 740. Since the width of the system clock C applied during normal operation is sufficiently short compared to the minimum delay time between L1 latches 211A and 213A, data leakage does not occur even if the clocks of latches 211A and 213A are in phase. do not have.

つまり、時刻830でクロック送出時にL1ラッチ21
1の出力がそのままL1ラッチ213Aに取り込まれる
ことはない。ところが診断動作時に用いられるテスタか
ら供給しうるクロック巾は長大なために、同相の場合に
データのつき抜けが生じ、前述したような診断上の問題
を引き起すことになる。ところが次に説明するように発
明では診断動作時にも安定した動作を保証することがで
きる。
In other words, when the clock is sent out at time 830, the L1 latch 21
The output of 1 is not taken into the L1 latch 213A as is. However, since the clock width that can be supplied from the tester used during the diagnostic operation is long, data skipping occurs in the case of the same phase, causing the above-mentioned diagnostic problem. However, as described below, the present invention can ensure stable operation even during diagnostic operation.

第8図は第5図中の組合せ回路(2)110の診断時の
動作を示したタイム・チャートである。
FIG. 8 is a time chart showing the operation of the combinational circuit (2) 110 in FIG. 5 during diagnosis.

モード制御信号M1とM2は定常的に′1′とし、選択
器3−iは、L2ラッチ211B、202B。
The mode control signals M1 and M2 are constantly set to '1', and the selector 3-i is the L2 latch 211B, 202B.

213Bの出力を選択させる。つまり、全ラッチ211
.212,213はマスク・スレーブ構成をとる。した
がって、以下の動作は、第4図の回路の診断時の動作と
同じである。910,915゜930はスキャン・イン
時刻を、955はクロック・アドバンス時刻を、970
はスキャン・アウト時刻を示している。時刻910,9
20゜935.950,965,980,985に到る
データ・フローはスキャン・イン・ピンSIから印加し
た信号に対する応答がスキャン・アウト・ピンSOで観
測されるまでの信号の流れを示している。つまり1時刻
910でスキャン・イン・ピンS工に与えられた入力デ
ータは時刻915でシフト・クロックAを送出後、時刻
920においてラッチ211のL1ラッチ(マスタラッ
チ)211Aに取り込まれる。次に時刻930でシフト
・クロックBを送出すると、時刻935においてラッチ
211のL2ラッチ(スレーブ・ラッチ)211Bに取
り込まれる。この時点で1組合せ回路2に対する入力デ
ータの設定(スキャン・イン)が完了したことになる。
213B output is selected. In other words, all latches 211
.. 212 and 213 have a mask slave configuration. Therefore, the following operation is the same as the operation when diagnosing the circuit shown in FIG. 910,915°930 is the scan in time, 955 is the clock advance time, 970
indicates the scan out time. Time 910,9
20°935. The data flow to 950, 965, 980, 985 shows the signal flow from the scan-in pin SI until the response to the applied signal is observed at the scan-out pin SO. . In other words, the input data given to the scan-in pin S at time 910 is taken into the L1 latch (master latch) 211A of the latch 211 at time 920 after the shift clock A is sent out at time 915. Next, when shift clock B is sent out at time 930, it is taken into L2 latch (slave latch) 211B of latch 211 at time 935. At this point, the input data setting (scan-in) for one combinational circuit 2 is completed.

一定時間後の時刻950において、この入力データに対
する組合せ回路2の出力り、が確定する。その後、時刻
955においてシステム・クロックCを送出する。これ
により。
At time 950 after a certain period of time, the output of the combinational circuit 2 in response to this input data is determined. Thereafter, at time 955, system clock C is sent out. Due to this.

時刻965においてラッチ213のL1ラッチの(マス
ク・ラッチ)213AにこのデータD3が取り込まれる
。次に時刻970においてシフト・クロックBを送出す
ることにより、時刻980において、ラッチ213のL
2ラッチ(スレーブ・ラッチ)213BにこのデータD
3が取り込まれ、これは時刻985においてスキャン、
・アウト・ピンSOで観測可能となる。
At time 965, this data D3 is taken into the L1 latch (mask latch) 213A of the latch 213. Next, by sending shift clock B at time 970, the L of latch 213 is set at time 980.
This data D is placed in the 2 latch (slave latch) 213B.
3 is captured, which is scanned at time 985,
- Observable with out pin SO.

このような回路構成ではラッチ211と213のシステ
ム・クロックC工、C3が同相であっても特に問題を生
じない。何故なら時刻955でクロックCを送出した時
にラッチ211のL1ラッチ(マスク・ラッチ)211
Aの値は時刻211Aの値は時刻960で変化する可能
性があるが、シフト・クロックBがオフ状態なのでラッ
チ211のL2ラッチ(スレーブ・ラッチ)211Bの
値は変化せず、従って組合せ回路2の出力データD3も
変化しない。このため、時刻955で与えたクロックC
の巾が長大であっても、データつき抜けの問題は起さな
い。次の時刻970でシフト・クロックB送出時にラッ
チ211のL2ラッチ(スレーブ・ラッチ)211Bの
出力が時刻975で変化し、それにより組合せ回路2の
出力D3は時刻990で変化するが、このときシステム
・クロックはオフ状態にあるので、ラッチ213のL1
ラッチ(マスク・ラッチ)213Aの値は破壊されるこ
となく、ラッチ213のL2ラッチ(スレーブ・ラッチ
)213Bに取り込まれる。このようにラッチ211と
213が同相であっても何ら診断上の問題を生じない。
In such a circuit configuration, no particular problem occurs even if the system clocks C and C3 of the latches 211 and 213 are in phase. This is because when the clock C is sent out at time 955, the L1 latch (mask latch) 211 of the latch 211
The value of A at time 211A may change at time 960, but since shift clock B is off, the value of L2 latch (slave latch) 211B of latch 211 does not change. The output data D3 does not change either. Therefore, the clock C given at time 955
Even if the width of the data is large, the problem of data omission does not occur. When the shift clock B is sent at the next time 970, the output of the L2 latch (slave latch) 211B of the latch 211 changes at time 975, and the output D3 of the combinational circuit 2 changes at time 990. - Since the clock is in the off state, L1 of latch 213
The value of the latch (mask latch) 213A is taken into the L2 latch (slave latch) 213B of the latch 213 without being destroyed. Even if the latches 211 and 213 are in the same phase as described above, no problem arises in diagnosis.

組合せ回路1,3に対しても全く同様な手順で検査可能
である。
Combinational circuits 1 and 3 can also be tested using exactly the same procedure.

本発明で注意を要するのは、モード制御信号M1とM2
の使用法である。シフト・ストリング中の奇数番目のラ
ッチ例えば211,213の選択器3−1.3−3に対
してMlを、偶数番目のラッチ例えば212に対する選
択器3−2に対してM2を接続させる。その理由はOサ
イクル・テストに関連しており、後で第10図を用いて
説明する。
What should be noted in the present invention is the mode control signals M1 and M2.
This is the usage of Ml is connected to the selector 3-1, 3-3 of the odd-numbered latch, for example 211, 213, in the shift string, and M2 is connected to the selector 3-2 of the even-numbered latch, for example 212. The reason for this is related to the O-cycle test and will be explained later using FIG.

第9図は第3図で示したランダム・スキャン方式の回路
に対して本発明を適用した例である。第9図において第
3図と同じ参照番号は同じものをさす。第3図のラッチ
221〜223にかえ、第5図で用いたマスク・スレー
ブ型ラッチ211〜213および選択器3−1〜3−3
が用いられ、新たにモード制御信号M1とスキャン・ク
ロック信号Bが追加される。ラッチ211,212゜2
13のマスク・ラッチ(Llラッチ)211A。
FIG. 9 is an example in which the present invention is applied to the random scan type circuit shown in FIG. In FIG. 9, the same reference numbers as in FIG. 3 refer to the same items. Instead of the latches 221 to 223 in FIG. 3, the mask/slave type latches 211 to 213 and selectors 3-1 to 3-3 used in FIG.
is used, and a mode control signal M1 and scan clock signal B are newly added. Latch 211, 212゜2
13 mask latch (Ll latch) 211A.

212A、213Aはラッチ221,222゜223に
対応している6すなわちスレーブ・ラッチ(L2ラッチ
)211B、212B、213Bと選択器3−1〜3−
3が新たに追加された素子である。同じモード制御信号
M1はすべての選択器3−1〜3−3に用いられる点で
第9図は第5図と異なる。
212A, 213A correspond to latches 221, 222, 223, 6 slave latches (L2 latches) 211B, 212B, 213B and selectors 3-1 to 3-
3 is a newly added element. FIG. 9 differs from FIG. 5 in that the same mode control signal M1 is used for all selectors 3-1 to 3-3.

なお、スキャン・イン・データは、端子SIよりL1ラ
ッチ211A、212A、213Aに並列に供給され、
L2ラッチ211B、212B。
Note that scan-in data is supplied from terminal SI to L1 latches 211A, 212A, and 213A in parallel,
L2 latches 211B, 212B.

213Bよりそれぞれに接続された選択器3−1゜3−
2.3−3を介して並列にオアゲート330およびスキ
ャン・アウト端子Soに接続される。
Selector 3-1゜3- connected to each from 213B
2.3-3 is connected in parallel to the OR gate 330 and the scan out terminal So.

但し、スキャン・データを取り込むべきL1ラッチおよ
びスキャン・データを出力すべきL2ラッチは、アドレ
ス・デコーダ300の出力をANDゲート310および
320に供給して行なわれる。
However, the L1 latch to take in scan data and the L2 latch to output scan data are performed by supplying the output of address decoder 300 to AND gates 310 and 320.

第10図は第5図の回路に対する0サイクル・テス1−
の手順を示したものである。410〜416は診断動作
時に、L1ラッチ211A−)L2ラッチ211B→選
択器3−1→L1ラツチ212A、・・・に到るシフト
・ストリングが正常に動作するかどうかをチェックする
ための処理である。シフト・クロックA、Bを交互に送
出することにより、スキャン・イン・ピンSIから入力
したバタンをシフトさせスキャン・アウト・ピンSOで
観測することにより、シフト・ストリング上の故障を検
出でき為。しかし、これだけではL1ラッチ211A、
212A、213Aから直接それぞれの選択器3−1.
3−2.3−2の出力に到るパス上の故障をチェックで
きない、このためにはモード制御信号を′1′に切り換
え、L1ラッチ211の出力を選択する作要がある。し
かし、この場合にはシフト・ストリングはマスター・ス
レーブ構成となっていないため、安定したシフト動作を
保証できないという問題点がある。この問題点解決のた
めに2種類のモード制御信号を用いる。ステップ420
〜426は奇数番目のラッチ例えば211,213のL
1ラッチ211A。
Figure 10 shows a 0 cycle test 1- for the circuit of Figure 5.
This shows the procedure. 410 to 416 are processes for checking whether the shift strings from L1 latch 211A to L2 latch 211B to selector 3-1 to L1 latch 212A, etc. operate normally during diagnostic operation. be. By alternately sending out shift clocks A and B, a fault on the shift string can be detected by shifting the button input from the scan in pin SI and observing it at the scan out pin SO. However, with this alone, L1 latch 211A,
212A, 213A directly from each selector 3-1.
3-2. Failure on the path leading to the output of 3-2 cannot be checked. For this purpose, it is necessary to switch the mode control signal to '1' and select the output of the L1 latch 211. However, in this case, since the shift string does not have a master-slave configuration, there is a problem that stable shift operation cannot be guaranteed. Two types of mode control signals are used to solve this problem. Step 420
~426 is an odd numbered latch, for example, L of 211, 213
1 latch 211A.

213Aからそれぞれ選択器3−1.3−3の出力に至
るパスをチェック、430〜436は偶数番目のラッチ
例えば212のL1ラッチ212Aから選択器の出力に
到るパスをチェックするための処理である。それぞれの
場合において、シフト・クロックAの巾はスキャン・デ
ータがL1ラッチ、選択器を通して次のし1ラツチにと
りこまれるに充分な長さとする必要がある。また、シフ
ト・クロックA、Bの送出回数は412〜416の場合
の半分でよい。
213A to the outputs of the selectors 3-1 and 3-3, and 430 to 436 are processes for checking the paths from the L1 latch 212A of even-numbered latches, such as 212, to the outputs of the selectors. be. In each case, the width of shift clock A must be long enough to allow the scan data to pass through the L1 latch, selector, and into the next latch. Further, the number of times shift clocks A and B are transmitted may be half of that in the case of 412 to 416.

第11図は第9図の回路に対する0サイクル・テストの
手順を示したものである。510〜530はL1ラッチ
→L2ラッチ→選択器に到るパス上の故障を検出するた
めの処理である。各ラッチのアドレスを選択し、C1ク
ロックを選出後にC2クロックを送出する。540〜5
60はL1ラッチ→選択器に到るパス上の故障を検出す
るための処理である。C1クロックを送出するだけでよ
い。
FIG. 11 shows the procedure for a 0 cycle test on the circuit of FIG. 9. 510 to 530 are processes for detecting failures on the path from L1 latch to L2 latch to selector. After selecting the address of each latch and selecting the C1 clock, the C2 clock is sent out. 540-5
60 is a process for detecting a failure on the path from the L1 latch to the selector. It is only necessary to send out the C1 clock.

〔発明の効果〕〔Effect of the invention〕

以上で本発明の回路構成について説明した。本発明によ
れば1通常動作時のシステム・ディレィをそれ程増加さ
せることなく、同相転送や1ラツチ・ループの論理制約
を解消でき、同相転送や1ラツチ・ループを含む回路に
対しても安定した検査動作を保証できる。
The circuit configuration of the present invention has been described above. According to the present invention, the logical constraints of in-phase transfer and 1-latch loop can be resolved without significantly increasing the system delay during normal operation, and the system is stable even for circuits that include in-phase transfer and 1-latch loop. Test operation can be guaranteed.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は診断の対象となる順序回路の一例を示す図、第
2図は第1図の回路にシフト・スキャン回路を付加した
回路図、第3図は第1図の回路にランダム・スキャン回
路を付加した回路図である・第4図は第2図の回路にお
けるラッチをマスク・スレーブ化した回路である。 第5図は第2図の回路に本発明を適用した回路構成図で
ある。第6図は本発明で用いられる選択器の回路図を示
している。第7図は第5図で示す回路の通常動作時のタ
イム・チャート、第8図は第5図の回路の診断動作時の
タイム・チャートである。 第9図は第3図の回路に本発明を適用した回路図である
。 第10図は第5図の回路に対するOサイクル・テストの
手順を示すフローチャート、第11図は第9図の回路に
対するOサイクル・テストの手順を示すフローチャート
である。 100〜120・・・組合せ回路 201〜203・・・ラッチ 211〜223・・・スキャン機能付きラッチ710〜
730・・・外部入力端子 740・・・外部出力端子 3・・・選択器 300・・・アドレス・デコーダ ′ylt  区
Figure 1 is a diagram showing an example of a sequential circuit to be diagnosed, Figure 2 is a circuit diagram in which a shift/scan circuit is added to the circuit in Figure 1, and Figure 3 is a circuit diagram in which a shift/scan circuit is added to the circuit in Figure 1. 4 is a circuit diagram with an added circuit. FIG. 4 is a circuit in which the latch in the circuit of FIG. 2 is masked and slaved. FIG. 5 is a circuit configuration diagram in which the present invention is applied to the circuit of FIG. 2. FIG. 6 shows a circuit diagram of a selector used in the present invention. FIG. 7 is a time chart of the circuit shown in FIG. 5 during normal operation, and FIG. 8 is a time chart of the circuit shown in FIG. 5 during diagnostic operation. FIG. 9 is a circuit diagram in which the present invention is applied to the circuit of FIG. 3. FIG. 10 is a flowchart showing the O-cycle test procedure for the circuit of FIG. 5, and FIG. 11 is a flowchart showing the O-cycle test procedure for the circuit of FIG. 9. 100-120...Combination circuits 201-203...Latch 211-223...Latch with scan function 710-
730...External input terminal 740...External output terminal 3...Selector 300...Address decoder'ylt Ward

Claims (1)

【特許請求の範囲】 1、複数の組合せ回路と、それぞれシステムデータ入力
端子もしくは該複数の組合せ回路の一つの回路のシステ
ムデータ出力端子に接続され、入力されるシステムクロ
ック信号に応答して、それぞれに入力されるシステムデ
ータを取り込み、入力される第1のスキャンクロックに
応答してそれぞれ入力されるスキャンデータを取り込む
複数の第1のラッチ回路と、それぞれ該複数の第1のラ
ッチ回路の一つに接続された複数の第2のラッチ回路で
あって入力される第2のスキャンクロックに応答して対
応する第1のラッチ回路から出力されるスキャンデータ
あるいはシステムデータを取り込む複数の第2のラッチ
回路と、それぞれが第1、第2のラッチ回路の一つの組
に対応して設けられ、モード信号に応答して各組の第1
のラッチ回路から出力されるデータおよび第2のラッチ
回路から出力されるデータを切り控えて、該複数の組合
せ回路の一つに供給する複数の選択回路を有し、該複数
の第1のラッチ回路は、該複数の組合せ回路に共通に設
けられたスキャンデータ入力端子より入力されるスキャ
ンデータが該複数の第1のラッチに供給されるように該
スキャンデータ入力端子に接続され、該複数の選択回路
は、該複数の選択回路より出力されるスキャンデータが
該複数の組合せ回路に共通に設けられたスキャンデータ
出力端子に供給されるように、該スキャンデータ出力端
子に接続されているものであるデータスキャン回路を有
する論理回路。 2、該複数の選択回路の各々の出力端子は該複数の第1
のラッチ回路の一つのスキャンデータ入力端子に接続さ
れ、それでもって、該複数の第1、第2のラッチ回路は
該スキャンデータ入力端子と該スキャンデータ出力端子
間に設けられたシフトレジスタを構成するものである、
第1項のデータスキャン回路を有する論理回路。 3、該複数の第1のラッチ回路は並列に該スキャンデー
タ入力端子に接続され、該論理回路は、入力される第1
のアドレス信号に応答して入力される該第1のスキャン
クロックを該複数の第1のラッチ回路の一つに選択的に
供給し、該一つの第1のラッチ回路に接続された第2の
ラッチ回路に接続された選択回路の出力を選択的に該ス
キャンデータ出力端子に供給する手段を有する第1項の
データスキャン回路を有する論理回路。
[Scope of Claims] 1. A plurality of combinational circuits, each connected to a system data input terminal or a system data output terminal of one circuit of the plurality of combinational circuits, each in response to an input system clock signal; a plurality of first latch circuits that take in system data that is input to the input device, and each of which takes in scan data that is input in response to a first scan clock that is input; and each one of the plurality of first latch circuits; a plurality of second latch circuits connected to the plurality of second latch circuits that capture scan data or system data output from the corresponding first latch circuit in response to an input second scan clock; circuits, each of which is provided corresponding to one set of first and second latch circuits, and in response to a mode signal, the first latch circuit of each set is
a plurality of selection circuits that cut off the data output from the latch circuit and the data output from the second latch circuit and supply them to one of the plurality of combinational circuits, the plurality of first latches The circuit is connected to the scan data input terminal such that scan data input from the scan data input terminal provided in common to the plurality of combinational circuits is supplied to the plurality of first latches, and The selection circuit is connected to the scan data output terminal so that the scan data output from the plurality of selection circuits is supplied to the scan data output terminal provided in common to the plurality of combinational circuits. A logic circuit with a certain data scanning circuit. 2. The output terminal of each of the plurality of selection circuits is connected to the plurality of first selection circuits.
The plurality of first and second latch circuits constitute a shift register provided between the scan data input terminal and the scan data output terminal. is something,
A logic circuit having a data scan circuit according to the first term. 3. The plurality of first latch circuits are connected in parallel to the scan data input terminal, and the logic circuit is connected to the input first latch circuit in parallel.
selectively supplies the first scan clock input in response to the address signal of the plurality of first latch circuits to one of the plurality of first latch circuits; A logic circuit having a data scan circuit according to claim 1, further comprising means for selectively supplying the output of a selection circuit connected to the latch circuit to the scan data output terminal.
JP60285517A 1984-12-24 1985-12-20 Logic circuit with fault diagnosis function Expired - Lifetime JPH0782071B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP60285517A JPH0782071B2 (en) 1984-12-24 1985-12-20 Logic circuit with fault diagnosis function

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP27087784 1984-12-24
JP59-270877 1984-12-24
JP60285517A JPH0782071B2 (en) 1984-12-24 1985-12-20 Logic circuit with fault diagnosis function

Publications (2)

Publication Number Publication Date
JPS61269084A true JPS61269084A (en) 1986-11-28
JPH0782071B2 JPH0782071B2 (en) 1995-09-06

Family

ID=26549429

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60285517A Expired - Lifetime JPH0782071B2 (en) 1984-12-24 1985-12-20 Logic circuit with fault diagnosis function

Country Status (1)

Country Link
JP (1) JPH0782071B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH042977A (en) * 1990-04-20 1992-01-07 Toshiba Corp Multifunction scan flip-flop

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH042977A (en) * 1990-04-20 1992-01-07 Toshiba Corp Multifunction scan flip-flop

Also Published As

Publication number Publication date
JPH0782071B2 (en) 1995-09-06

Similar Documents

Publication Publication Date Title
JP2725258B2 (en) Integrated circuit device
JP2009515160A (en) Integrated circuit inspection method and apparatus
JPS63243890A (en) Semiconductor integrated circuit device
US4703257A (en) Logic circuit having a test data scan circuit
JPS61269084A (en) Logical circuit with data scan circuit
US7146549B2 (en) Scan-path flip-flop circuit for integrated circuit memory
EP0151694A2 (en) Logic circuit with built-in self-test function
JPS60239836A (en) Troubleshooting system of logical circuit
JPH01110274A (en) Test circuit
JP4610919B2 (en) Semiconductor integrated circuit device
US6118296A (en) Semiconductor integrated logic circuit
JPH0572615B2 (en)
JPH0989993A (en) Scanning circuit
JPS59175099A (en) Memory circuit
JPH0389178A (en) Semiconductor integrated circuit
JPH10124564A (en) Inspection-facilitated designing method, bus error-evaded designing method and integrated circuit
JPH04259866A (en) Diagnosing apparatus
JPS60239835A (en) Trouble shooting system of logical circuit
JP2616125B2 (en) Semiconductor integrated circuit
JPS62211573A (en) Large scale integrated circuit with fault diagnosing circuit
JP2002082146A (en) Scan test circuit
JPH06347520A (en) Delay test method
JPH0666885A (en) Boundary scanning circuit
JP2001235513A (en) Semiconductor integrated circuit device and its test method
JPS6327735B2 (en)