JPH1126751A - Mos semiconductor element and manufacture thereof - Google Patents

Mos semiconductor element and manufacture thereof

Info

Publication number
JPH1126751A
JPH1126751A JP9173496A JP17349697A JPH1126751A JP H1126751 A JPH1126751 A JP H1126751A JP 9173496 A JP9173496 A JP 9173496A JP 17349697 A JP17349697 A JP 17349697A JP H1126751 A JPH1126751 A JP H1126751A
Authority
JP
Japan
Prior art keywords
gate electrode
source
film
drain
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9173496A
Other languages
Japanese (ja)
Inventor
Masami Nakada
眞佐美 中田
Hironori Inoue
洋典 井上
Takaya Suzuki
誉也 鈴木
Toshio Ando
敏夫 安藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP9173496A priority Critical patent/JPH1126751A/en
Publication of JPH1126751A publication Critical patent/JPH1126751A/en
Pending legal-status Critical Current

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To decrease the distance between the source and the drain, by depositing a semiconductor substrate on the main surface so that a part of a semiconductor thin film layer is in contact with a gate insulating film, and thereby making it possible to provide a semiconductor film which becomes source/drain electrodes so as to come into contact with gate electrode. SOLUTION: An oxide film 104 having the thickness of about 5 nm is formed by a wet oxidation method. A polycrystalline silicon film is formed thereon and processed into a gate electrode 102. Then, after an impurity region 105 is formed by ion implantation method for a source region and a drain region, the oxide film 104 on the source region and the drain region is removed. Here, a silicon germanium film 101 is selectively formed in the source region and the drain region. That is to say, crystal grow the is performed under the conditions wherein the crystal grown on the silicon substrate 100 but the crystal is hard to grow on the oxide film 104 at the same time. The silicon germanium film 101, which becomes the source/drain electrodes, is formed so as to come into contact with the gate electrode.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、MOS型半導体素
子(トランジスタ,FET)に係わり、特にソース・ド
レイン間の距離が小さく、高速動作が可能な高性能MO
S型半導体素子、及び従来の製造工程より工程が少な
く、製造コストを低減できるMOS型半導体素子の製造
方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a MOS type semiconductor device (transistor, FET), and in particular, to a high performance MO capable of operating at high speed with a short distance between a source and a drain.
The present invention relates to an S-type semiconductor device and a method for manufacturing a MOS-type semiconductor device which requires fewer steps than conventional manufacturing processes and can reduce the manufacturing cost.

【0002】[0002]

【従来の技術】シリコンウェハ上に不純物拡散,多結晶
シリコンの成膜等を繰り返すことにより多数のトランジ
スタを製造する方法により高集積化された半導体素子が
製造されている。MOSトランジスタにおいては、図2
に示すように高純度シリコン基板100にn型またはp
型半導体を製造するための不純物を拡散させた領域10
5を製造した後、ゲート酸化膜104,ゲート酸化膜上
にゲート電極となる多結晶シリコン102をCVD等の
方法により製造し、更にソースと他方側のドレイン(以
降ソース・ドレインと略記)の電極101を、シリコン
ゲルマニウムを成膜することにより製造して、1個のF
ETを製造する。一度に数千個,数万個の単位で、これ
らFETを同時に製造することにより高集積化された半
導体素子が製造される。
2. Description of the Related Art Highly integrated semiconductor elements have been manufactured by a method of manufacturing a large number of transistors by repeatedly diffusing impurities and forming polycrystalline silicon on a silicon wafer. In MOS transistors, FIG.
As shown in FIG.
Region 10 where impurities are diffused for manufacturing a type semiconductor
5, a gate oxide film 104, a polysilicon 102 serving as a gate electrode on the gate oxide film are manufactured by a method such as CVD, and a source and a drain on the other side (hereinafter abbreviated as source / drain) are further formed. 101 is manufactured by forming a film of silicon germanium, and one F
Manufacturing ET. By simultaneously manufacturing these FETs in units of thousands or tens of thousands at a time, a highly integrated semiconductor device is manufactured.

【0003】図2に示すように、MOSFETのゲート
酸化膜104上にはドライエッチング等で加工された断
面が方形状の多結晶シリコン102が形成され、それら
の両側には絶縁膜スペーサ107が形成される。この絶
縁膜スペーサは、隣接した領域に設けられる積み上げソ
ース・ドレイン101としてシリコンが堆積される際に
多結晶ゲート102とソース・ドレインが短絡しないよ
うにするために設けられている。
As shown in FIG. 2, a polycrystalline silicon 102 having a rectangular cross section processed by dry etching or the like is formed on a gate oxide film 104 of a MOSFET, and insulating film spacers 107 are formed on both sides thereof. Is done. This insulating film spacer is provided to prevent a short circuit between the polycrystalline gate 102 and the source / drain when silicon is deposited as the stacked source / drain 101 provided in the adjacent region.

【0004】また、特開平3−50771号公報に記載された
図3に示すような方法では、ゲート多結晶シリコン10
2を絶縁膜でマスクしないため、ゲート酸化膜層104
の厚さを厚くし、かつ積み上げソース・ドレイン101
の膜厚を薄くすることによって、ソース・ドレイン10
1とゲート多結晶シリコン102上に堆積させる多結晶
シリコン107が短絡しないようにしている。
Further, in the method shown in FIG. 3 described in JP-A-3-50771, a gate polysilicon 10
2 is not masked with an insulating film, the gate oxide film layer 104 is not masked.
Source / drain 101
By reducing the film thickness of the source / drain 10
1 and the polycrystalline silicon 107 deposited on the gate polycrystalline silicon 102 are not short-circuited.

【0005】[0005]

【発明が解決しようとする課題】MOS型FET半導体
装置の製造方法においては、ゲートとソース・ドレイン
の短絡を防止でき、かつより単純なプロセスで製造でき
ることが望ましい。図4の拡大図に示すように、従来の
技術においてゲート102とソース・ドレイン101と
の短絡を防ぐために絶縁膜スペーサ106を設ける場合
は、その膜厚dの2倍分だけ、ソースとドレイン間の距
離を長く設計する必要がある。
In a method of manufacturing a MOS FET semiconductor device, it is desirable that a short circuit between a gate and a source / drain can be prevented, and that the device can be manufactured by a simpler process. As shown in the enlarged view of FIG. 4, when the insulating film spacer 106 is provided to prevent a short circuit between the gate 102 and the source / drain 101 in the related art, the distance between the source and the drain is twice the film thickness d. It is necessary to design a long distance.

【0006】MOSトランジスタ半導体素子は、ソース
からドレインに供給される電子の量をゲートにかける電
圧で制御することにより各種の動作を行う。この場合、
ソースとドレインの距離が長いと、それだけ電子が移動
する時間がかかる、すなわち、高速動作ができないとい
う問題が生じる。従って、高速スイッチング動作等を要
求される半導体では、ソースとドレインの距離をできる
だけ小さくすることが望ましい。しかし、絶縁膜スペー
サを設ける場合は、その分だけソース・ドレイン間の距
離を縮められず、従って素子の高速化に限界が生じる。
[0006] MOS transistor semiconductor elements perform various operations by controlling the amount of electrons supplied from the source to the drain with a voltage applied to the gate. in this case,
If the distance between the source and the drain is long, it takes a longer time for electrons to move, that is, a problem arises in that high-speed operation cannot be performed. Therefore, in a semiconductor that requires a high-speed switching operation or the like, it is desirable to minimize the distance between the source and the drain. However, when the insulating film spacer is provided, the distance between the source and the drain cannot be reduced by that much, and therefore, there is a limit in increasing the speed of the device.

【0007】また、スペーサが厚くなると、熱拡散等に
よってもソース・ドレイン不純物領域がゲート絶縁膜の
下部まで拡散せず、寄生容量が増加する。従来の選択成
長技術においては、ソース及びドレイン領域の単結晶基
板表面とゲートの多結晶表面上ではそれぞれ単結晶シリ
コン,多結晶シリコンが同様に堆積するため、ソース及
びドレイン領域の結晶基板部分には絶縁膜マスクをする
必要がある。この絶縁膜は通常窒化膜であるため、窒化
膜形成の熱による不純物の拡散や、フォトリソグラフィ
工程が増える。ゲート酸化膜の厚さを数十nmと厚くす
ることにより、トランジスタの性能を劣化させる上、ソ
ース・ドレイン電極とゲートを短絡しないための高度な
制御性が要求される。
Further, when the spacer is thick, the source / drain impurity region does not diffuse to the lower portion of the gate insulating film due to thermal diffusion or the like, and the parasitic capacitance increases. In the conventional selective growth technique, monocrystalline silicon and polycrystalline silicon are similarly deposited on the single-crystal substrate surface of the source and drain regions and the polycrystalline surface of the gate, respectively. It is necessary to use an insulating film mask. Since the insulating film is usually a nitride film, diffusion of impurities due to heat of forming the nitride film and a photolithography process are increased. By increasing the thickness of the gate oxide film to several tens of nm, the performance of the transistor is degraded, and a high degree of controllability for preventing the source / drain electrodes and the gate from being short-circuited is required.

【0008】更に、特開平3−50771号公報に記載された
方法では、ゲート酸化膜を厚くするためにプロセス時間
が長くなるなど、製造プロセス上に制限が出てくる。
Further, in the method described in Japanese Patent Application Laid-Open No. 3-50771, there is a limitation on the manufacturing process, such as a longer process time due to a thicker gate oxide film.

【0009】本発明の第1の目的は、ゲート電極の周囲
に絶縁膜スペーサを設ける必要がないので、素子の高速
動作を可能としたMOS型半導体素子を提供することに
ある。
A first object of the present invention is to provide a MOS type semiconductor device which can operate at high speed because it is not necessary to provide an insulating film spacer around a gate electrode.

【0010】また、本発明の第2の目的は、MOS型半
導体素子の製造プロセスを簡略化できるので、製造コス
ト低減が可能になるMOS型半導体素子の製造方法を提
供することにある。
A second object of the present invention is to provide a method of manufacturing a MOS type semiconductor device which can simplify the manufacturing process of the MOS type semiconductor device and can reduce the manufacturing cost.

【0011】[0011]

【課題を解決するための手段】上記本発明の第1の目的
を達成するため、本発明の第1の発明は、半導体基板上
の主面に形成されたゲート絶縁膜と、該ゲート絶縁膜上
に形成されたゲート電極と、前記ゲート電極を挟んで前
記半導体基板の主面に形成された一導電型のソース及び
ドレインの2つ拡散層とを有し、前記ソース・ドレイン
拡散層の形成された半導体基板の主面に、半導体薄膜層
が選択的に堆積され、かつ該半導体薄膜層の縁部の一部
が前記ゲート絶縁膜と接するように設けられた構造を有
することを特徴とするMOS型半導体素子を提供するも
のである。
Means for Solving the Problems To achieve the first object of the present invention, a first invention of the present invention provides a gate insulating film formed on a main surface of a semiconductor substrate, and the gate insulating film. Forming a source / drain diffusion layer having a gate electrode formed thereon and two diffusion layers of one conductivity type formed on a main surface of the semiconductor substrate with the gate electrode interposed therebetween; A semiconductor thin film layer is selectively deposited on a main surface of the semiconductor substrate, and a part of an edge of the semiconductor thin film layer is provided so as to be in contact with the gate insulating film. It is intended to provide a MOS type semiconductor device.

【0012】「半導体薄膜層の縁部の一部がゲート絶縁
膜と接する」とは、図5の拡大図に示すように前記半導
体薄膜層101が絶縁膜104面に成長できないことに
より、前記半導体基板100の主面とゲート絶縁膜10
4の境界線までしか半導体薄膜101が成長していな
く、図4におけるスペーサ106厚みdを0とする様子
を示す。
"A part of the edge of the semiconductor thin film layer is in contact with the gate insulating film" means that the semiconductor thin film layer 101 cannot grow on the surface of the insulating film 104 as shown in the enlarged view of FIG. Main surface of substrate 100 and gate insulating film 10
4 shows that the semiconductor thin film 101 has grown only up to the boundary line 4, and the thickness d of the spacer 106 in FIG.

【0013】上記構成により、半導体膜(ソース・ドレ
イン電極となるもの)がゲート電極に隣接するように設
けることが可能になるので、半導体素子のソース・ドレ
イン間距離を小さくすることができ、高速動作可能なM
OS型半導体素子が提供できる。
According to the above structure, the semiconductor film (which becomes the source / drain electrodes) can be provided so as to be adjacent to the gate electrode. Therefore, the distance between the source and the drain of the semiconductor element can be reduced, and the speed can be increased. Operable M
An OS type semiconductor element can be provided.

【0014】第1の発明において、前記半導体薄膜層が
ゲルマニウムを含んでいることが好ましい。ゲルマニウ
ムを含む半導体薄膜は選択成長性が良く、ゲート絶縁膜
上に成長しにくいため、ゲート電極の周囲に絶縁物スペ
ーサを設ける必要がない。
In the first invention, it is preferable that the semiconductor thin film layer contains germanium. Since the semiconductor thin film containing germanium has good selective growth and is difficult to grow on the gate insulating film, it is not necessary to provide an insulator spacer around the gate electrode.

【0015】更に第1の発明において、前記ゲート電極
が多結晶膜からなり、かつ該結晶の平均粒径が50nm
以上であることが好ましい。
Further, in the first invention, the gate electrode is made of a polycrystalline film, and the crystal has an average grain size of 50 nm.
It is preferable that it is above.

【0016】上記構成により、ゲート電極上に半導体膜
が成長するのを効果的に防止することが可能になる。
According to the above configuration, it is possible to effectively prevent a semiconductor film from growing on the gate electrode.

【0017】上記第2の目的を達成するため、本発明の
第2の発明は、半導体基板主面上に酸化膜を設ける工
程,該酸化膜上に多結晶半導体膜を設けた後、該多結晶
膜を加工してゲート電極を設ける工程,該ゲート電極を
覆うように酸化膜を設ける工程,該ゲート電極を覆うよ
うに設けた酸化膜を加工して、該ゲート電極の周囲に酸
化膜スペーサを設ける工程,該ゲート電極の周囲に不純
物を拡散させソース・ドレイン領域を形成する工程,前
記酸化膜スペーサを除去する工程,前記ソース・ドレイ
ン領域の上に、シリコン原子を含む原料ガス,ゲルマニ
ウムを含む原料ガス、及びキャリアガス、更に必要に応
じてエッチング作用を有するガスを含む原料ガスを用い
て化学気相堆積法によりソース・ドレイン電極を設ける
工程、を含むことを特徴とするMOS型半導体素子の製
造方法を提供するものである。
In order to achieve the second object, a second invention of the present invention comprises a step of providing an oxide film on a main surface of a semiconductor substrate, a step of providing a polycrystalline semiconductor film on the oxide film, and Providing a gate electrode by processing the crystal film, providing an oxide film to cover the gate electrode, processing the oxide film provided to cover the gate electrode, and forming an oxide film spacer around the gate electrode. Forming a source / drain region by diffusing impurities around the gate electrode, removing the oxide film spacer, and depositing a source gas containing silicon atoms and germanium on the source / drain region. Providing source and drain electrodes by a chemical vapor deposition method using a source gas containing a source gas including a carrier gas, and a gas having an etching action as needed. There is provided a method of manufacturing a MOS type semiconductor device according to symptoms.

【0018】上記構成により、従来の工程に比べ、MO
S型半導体素子の製造プロセスを簡略化できるので、半
導体製造コストの低減を図ることができる。
According to the above configuration, the MO can be improved compared to the conventional process.
Since the manufacturing process of the S-type semiconductor element can be simplified, the semiconductor manufacturing cost can be reduced.

【0019】第2の発明において、前記多結晶からなる
ゲート電極の平均結晶粒径が50nm以下の場合は、前記
化学気相堆積法に用いる原料ガス中のシリコン原子を含
む原料ガスとゲルマニウムを含む原料ガスの体積割合が
4:1近傍であり、前記ゲート電極の平均結晶粒径が5
0nmより大きい場合は、ゲルマニウムを含む原料ガス
の割合を多くし、平均結晶粒径が50nmより小さい場
合は、ゲルマニウムを含む原料ガスの割合を少なくする
ことが好ましい。
In the second invention, when the average crystal grain size of the polycrystalline gate electrode is 50 nm or less, germanium and a source gas containing silicon atoms in the source gas used for the chemical vapor deposition method are included. The volume ratio of the source gas is around 4: 1, and the average crystal grain size of the gate electrode is 5
When it is larger than 0 nm, it is preferable to increase the ratio of the source gas containing germanium, and when the average crystal grain size is smaller than 50 nm, it is preferable to decrease the ratio of the source gas containing germanium.

【0020】ゲート電極の結晶粒径は、気相成長プロセ
スの際の基板温度,原料ガスの供給量等により変化す
る。このゲート電極の平均結晶粒径に応じて、半導体膜
を化学気相堆積法に用いる原料ガス中の組成を最適に調
整することにより、プロセスの最適化を図ることができ
る。
The crystal grain size of the gate electrode changes depending on the substrate temperature, the supply amount of the source gas, and the like during the vapor phase growth process. By optimizing the composition of the semiconductor film in the source gas used for the chemical vapor deposition method according to the average crystal grain size of the gate electrode, the process can be optimized.

【0021】第2の発明において、前記化学気相堆積法
に用いる原料ガス中の前記エッチングガスの混合量を、
前記シリコン原子を含む原料ガスとゲルマニウムを含む
原料ガスの体積割合に応じて調節することが好ましい。
In the second invention, the mixing amount of the etching gas in the source gas used in the chemical vapor deposition method is
It is preferable to adjust according to the volume ratio of the source gas containing silicon atoms and the source gas containing germanium.

【0022】半導体薄膜の生成条件としては、ゲート電
極の平均結晶粒径の他に、化学気相堆積法に用いる原料
ガス中の前記エッチングガスの混合量を変化させること
によっても、半導体薄膜がゲート電極上に成長しないよ
うな最適条件を調整することができる。すなわち、使用
する半導体製造装置の仕様に応じて、化学気相堆積法に
用いる原料ガス中の組成を変化させるだけで(他の条件
を検討しなくても)、半導体製造プロセスを最適化でき
るのである。
The semiconductor thin film can be formed by changing the mixing amount of the etching gas in the source gas used in the chemical vapor deposition method, in addition to the average crystal grain size of the gate electrode, in addition to the average crystal grain size of the gate electrode. Optimum conditions can be adjusted so as not to grow on the electrode. That is, the semiconductor manufacturing process can be optimized only by changing the composition in the source gas used in the chemical vapor deposition method according to the specifications of the semiconductor manufacturing apparatus to be used (without considering other conditions). is there.

【0023】以下に、本発明の原理を述べる。Hereinafter, the principle of the present invention will be described.

【0024】シリコンゲルマニウムあるいはゲルマニウ
ムは、シリコンに比べて、堆積する基板に対して高い選
択性をもつ。ここで、選択性をもった成長とは、結晶基
板上には成長するが、同時に非晶質絶縁膜上には成長し
にくいということである。つまり、単結晶や多結晶基板
上には成長するが、同時に酸化膜や窒化膜上には成長し
ない成長条件が容易に得られるということである。本発
明者らは、図5に示したように、単結晶基板上のみに膜
が成長し、同時に絶縁膜はもとより多結晶上にも膜が成
長しない結晶成長が可能であることを実験により見い出
した。
Silicon germanium or germanium has a higher selectivity for a substrate to be deposited than silicon. Here, the growth with selectivity means that it grows on the crystal substrate but hardly grows on the amorphous insulating film at the same time. In other words, it is easy to obtain a growth condition that grows on a single crystal or polycrystalline substrate but does not grow on an oxide film or a nitride film at the same time. The present inventors have found through experiments that a film can be grown not only on an insulating film but also on a polycrystal, as shown in FIG. Was.

【0025】多結晶シリコンは結晶粒の集合体であり、
結晶粒の結晶部分と粒界部分という2つの相から構成さ
れていると考えられる。結晶部分はシリコン単結晶であ
るが、粒界はシリコンダングリングボンドを多く有し、
酸素や水分などの不純物を取り込みやすい構造になって
いる。そして、結晶粒の粒径を変えることにより、これ
ら2相の割合を変えることができる。本発明では、多結
晶粒径を小さくして粒界部分の割合がある値より大きく
すると多結晶基板であってもその上にシリコンゲルマニ
ウムやゲルマニウムを成長する場合に絶縁膜上と同様に
振舞うことが分かった。この条件を満たす粒径の臨界値
は、ゲルマニウム濃度やエッチングガスなどの割合によ
って変化するが、およそ平均粒径が50nmであること
を実験により分かった。つまり、シリコンゲルマニウム
およびゲルマニウム膜を、単結晶基板上のみに成長さ
せ、同時に絶縁膜と多結晶上には成長させない選択成長
が可能となった。
Polycrystalline silicon is an aggregate of crystal grains,
It is considered that the crystal grains are composed of two phases, that is, a crystal part and a grain boundary part. The crystal part is a silicon single crystal, but the grain boundaries have many silicon dangling bonds,
The structure makes it easy to take in impurities such as oxygen and moisture. By changing the grain size of the crystal grains, the ratio of these two phases can be changed. In the present invention, when the polycrystalline grain size is reduced and the ratio of the grain boundary portion is set to a value larger than a certain value, even when the silicon germanium or germanium is grown on the polycrystalline substrate, it behaves similarly to the insulating film. I understood. The critical value of the particle size that satisfies this condition varies depending on the germanium concentration, the proportion of the etching gas and the like, but it has been found by experiments that the average particle size is about 50 nm. That is, it is possible to selectively grow the silicon germanium and germanium films only on the single crystal substrate and not on the insulating film and the polycrystal at the same time.

【0026】これを利用すると、ゲート部分に絶縁膜の
側壁を形成する必要がないために、ゲート酸化膜との間
になにも挟まずに積み上げソース・ドレインを形成でき
るため、ゲート酸化膜と積み上げソース・ドレイン膜の
間に絶縁膜スペーサを挟む必要がなくなるため、ソース
・ドレイン間の距離が短くできる。これにより、デバイ
スの高速化が可能である。さらに、スペーサ用絶縁膜の
堆積,パターンニング,加工などの工程が省略できる。
また、ゲート酸化膜の真下までソース・ドレイン不純物
領域が拡散するので、寄生抵抗を減らすことができる。
さらに、ゲルマニウム,シリコンゲルマニウム膜は絶縁
膜との境界に必ずファセットが形成され、かつゲート多
結晶膜上に膜が堆積しないので、ゲートとソース・ドレ
イン電極との短絡の心配がない。
By utilizing this, since it is not necessary to form the side wall of the insulating film in the gate portion, the source / drain can be formed without being sandwiched between the gate oxide film and the gate oxide film. Since it is not necessary to interpose an insulating film spacer between the stacked source / drain films, the distance between the source / drain can be reduced. As a result, the speed of the device can be increased. Further, steps such as deposition, patterning, and processing of a spacer insulating film can be omitted.
In addition, since the source / drain impurity region is diffused just below the gate oxide film, the parasitic resistance can be reduced.
Further, since the germanium and silicon germanium films always have facets formed at the boundary with the insulating film, and do not deposit on the gate polycrystalline film, there is no fear of short-circuit between the gate and the source / drain electrodes.

【0027】[0027]

【発明の実施の形態】BEST MODE FOR CARRYING OUT THE INVENTION

(実施例1)以下、本発明の実施例1を説明する。 (Embodiment 1) Hereinafter, Embodiment 1 of the present invention will be described.

【0028】p型で結晶方位が(100)面のシリコン
ウェハの一部である基板201の表面に、周知のLOC
OS法によって素子形成領域の周辺部に素子分離用の酸
化膜202を形成した。酸化膜の厚さは350nmであ
る。上記工程で図6に記載した構造が得られた。
A well-known LOC is formed on the surface of a substrate 201 which is a part of a p-type silicon wafer having a (100) crystal orientation.
An oxide film 202 for element isolation was formed in the periphery of the element formation region by the OS method. The thickness of the oxide film is 350 nm. In the above steps, the structure shown in FIG. 6 was obtained.

【0029】次いで、ウエット酸化法で厚さ5nmの酸
化膜203を形成し、酸化膜203上に減圧化学気相成
長法で厚さ200nmの多結晶シリコン膜204を形成
した。上記工程で図7に記載した構造が得られた。
Next, an oxide film 203 having a thickness of 5 nm was formed by wet oxidation, and a polycrystalline silicon film 204 having a thickness of 200 nm was formed on the oxide film 203 by low pressure chemical vapor deposition. The structure described in FIG. 7 was obtained in the above steps.

【0030】次に、周知のフォトリソグラフィ技術で多
結晶シリコン膜204を加工してゲート電極を作製し
た。多結晶シリコン膜からなるゲート電極205の幅は
0.2μmである。上記工程で図8に記載した構造が得
られた。
Next, the gate electrode was manufactured by processing the polycrystalline silicon film 204 by a known photolithography technique. The width of the gate electrode 205 made of a polycrystalline silicon film is 0.2 μm. The structure described in FIG. 8 was obtained by the above steps.

【0031】次に、減圧化学気相成長法で酸化膜206
を形成した。酸化膜206の厚さは300nmである。
上記工程で図9に記載した構造が得られた。
Next, the oxide film 206 is formed by a low pressure chemical vapor deposition method.
Was formed. Oxide film 206 has a thickness of 300 nm.
The structure described in FIG. 9 was obtained in the above steps.

【0032】次に、異方性エッチングによって酸化膜2
06をエッチングし、絶縁膜スペーサ207を形成し
た。上記工程で図10に記載した構造が得られた。
Next, oxide film 2 is formed by anisotropic etching.
06 was etched to form an insulating film spacer 207. The structure described in FIG. 10 was obtained in the above steps.

【0033】次に、イオン打ち込み法によって砒素をソ
ース領域208とドレイン領域209へ打ち込み、n型の
不純物領域210を形成した。イオン打ち込みの条件は
加速電圧40keV,ドーズ量2×1015/cm2 であ
る。上記工程で図11に記載した構造が得られた。
Next, arsenic was implanted into the source region 208 and the drain region 209 by ion implantation to form an n-type impurity region 210. The conditions for ion implantation are an acceleration voltage of 40 keV and a dose of 2 × 10 15 / cm 2 . The structure described in FIG. 11 was obtained in the above steps.

【0034】次に、フッ酸水に浸漬することでソース領
域211とドレイン領域212上の酸化膜203と絶縁
膜スペーサ207を除去した。上記工程で図12に記載
した構造が得られた。
Next, the oxide film 203 and the insulating film spacer 207 on the source region 211 and the drain region 212 were removed by immersion in a hydrofluoric acid solution. The structure described in FIG. 12 was obtained by the above steps.

【0035】次に、低圧化学気相成長装置内でシリコン
ゲルマニウム膜213を選択的にソース領域211,ド
レイン領域212上に形成した。シリコンゲルマニウム
膜213の形成条件は、成長温度650℃,成長圧力1
トール,モノシランガス流量18cc/分,塩化水素ガス
流量10cc/分,水素ガス流量1リッター/分,ゲルマ
ンガス2cc/分,フォスフィンガスを0.1cc/分 、加
えたことにより形成される。この場合、シリコンゲルマ
ニウム膜213の選択的形成をより完全に達成するに
は、前述ゲート電極205である多結晶シリコン膜の平
均結晶粒径が50nmより大きい場合にはゲルマンガス
の流量を多くしてモノシラン/ゲルマンガスの流量比を
4/1より小さく、一方、50nmより小さい場合は流
量を少なくして4/1より大きくすることが望ましい。
さらに、塩化水素ガスの添加流量はシリコンゲルマニウ
ム膜213の選択形成が可能な流量範囲を実験的に最適
化することが望ましい。
Next, a silicon germanium film 213 was selectively formed on the source region 211 and the drain region 212 in a low pressure chemical vapor deposition apparatus. The conditions for forming the silicon germanium film 213 are as follows: growth temperature 650 ° C., growth pressure 1
It is formed by adding a flow rate of 18 cc / min of toll and monosilane gas, a flow rate of 10 cc / min of hydrogen chloride gas, a flow rate of 1 liter / min of hydrogen gas, a flow rate of 2 cc / min of germane gas, and a flow rate of 0.1 cc / min of phosphine gas. In this case, in order to more completely achieve the selective formation of the silicon germanium film 213, when the average crystal grain size of the polycrystalline silicon film as the gate electrode 205 is larger than 50 nm, the flow rate of the germane gas is increased. When the flow ratio of monosilane / germane gas is smaller than 4/1, on the other hand, when it is smaller than 50 nm, it is desirable to reduce the flow rate to be larger than 4/1.
Further, it is desirable to experimentally optimize a flow rate range in which the silicon germanium film 213 can be selectively formed as an addition flow rate of the hydrogen chloride gas.

【0036】以上の条件により形成されたシリコンゲル
マニウム膜213の厚さは100nmである。シリコンゲ
ルマニウムのシート抵抗は100Ω/□である。上記工
程で図13に記載した構造が得られた。
The thickness of the silicon germanium film 213 formed under the above conditions is 100 nm. The sheet resistance of silicon germanium is 100Ω / □. The structure described in FIG. 13 was obtained by the above steps.

【0037】次に、1000℃20秒の熱処理によって
不純物拡散層214が形成される。この工程で図14に
記載した構造が得られた。尚、この構造は各部の番号が
異なるが本考案の基本構成を示す図1と同一である。
Next, an impurity diffusion layer 214 is formed by a heat treatment at 1000 ° C. for 20 seconds. In this step, the structure shown in FIG. 14 was obtained. This structure is the same as FIG. 1 showing the basic structure of the present invention, although the numbers of the respective parts are different.

【0038】次に、減圧化学成長法で厚さ1μmの酸化
膜を全面に堆積し、さらにSOG(Spin On Glass)膜を
堆積し、周知のエッチバック法で表面を平坦化した酸化
膜215を形成した。上記工程で図15に記載した構造
が得られた。
Next, an oxide film having a thickness of 1 μm is deposited on the entire surface by a low pressure chemical growth method, an SOG (Spin On Glass) film is further deposited, and an oxide film 215 having a flat surface by a well-known etch-back method is removed. Formed. The structure described in FIG. 15 was obtained by the above steps.

【0039】次に、ホトリソグラフィ技術によって酸化
膜上部にコンタクトホールを開孔し、タングステン膜を
埋め込み、ホトリソグラフィ技術によってソース電極2
16,ゲート電極217,ドレイン電極218を形成し
た。上記工程で図16に記載したnMOSFETが得られた。
Next, a contact hole is formed on the oxide film by photolithography, a tungsten film is buried, and the source electrode 2 is formed by photolithography.
16, a gate electrode 217 and a drain electrode 218 were formed. Through the above steps, the nMOSFET shown in FIG. 16 was obtained.

【0040】作製したnMOSFETの電気特性を評価した結
果、電源電圧1.5V で相互インダクタンス200ミリ
シーメンス/mmであった。
As a result of evaluating the electrical characteristics of the fabricated nMOSFET, the mutual inductance was 200 mS / mm at a power supply voltage of 1.5 V.

【0041】本実施例では基板201にシリコン単結晶
ウェハを使用したがSOIウェハを使用してもよい。
In this embodiment, a silicon single crystal wafer is used as the substrate 201, but an SOI wafer may be used.

【0042】基板の導電型,シリコンゲルマニウム膜の
不純物元素やイオン打ち込みする元素を代えることでp
MOSFETを作製できる。また、nMOSFETとpMOSFETを同時
に作製し、CMOSFETを作製できる。
By changing the conductivity type of the substrate, the impurity element of the silicon germanium film and the element for ion implantation, p
MOSFET can be manufactured. Also, an nMOSFET and a pMOSFET can be simultaneously manufactured to manufacture a CMOSFET.

【0043】[0043]

【発明の効果】本発明の第1の発明により、半導体膜
(ソース・ドレイン電極となるもの)がゲート電極に隣
接するように設けることが可能になるので、半導体素子
のソース・ドレイン間距離を小さくすることができ、高
速動作可能なMOS型半導体素子が提供できる。
According to the first aspect of the present invention, a semiconductor film (which becomes a source / drain electrode) can be provided so as to be adjacent to a gate electrode. It is possible to provide a MOS semiconductor device which can be reduced in size and can operate at high speed.

【0044】本発明の第2の発明により、従来の工程に
比べ、MOS型半導体素子の製造プロセスを簡略化でき
るので、半導体製造コストの低減を図ることができる。
According to the second aspect of the present invention, the manufacturing process of the MOS type semiconductor device can be simplified as compared with the conventional process, so that the semiconductor manufacturing cost can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】多結晶基板上に形成した単結晶基板の露出した
部分にのみ選択的に単結晶を成長した様子を示した図。
FIG. 1 is a view showing a state in which a single crystal is selectively grown only on an exposed portion of a single crystal substrate formed on a polycrystalline substrate.

【図2】従来の方法を示した図。FIG. 2 is a diagram showing a conventional method.

【図3】従来の方法を示した図。FIG. 3 is a diagram showing a conventional method.

【図4】従来の方法を示した図。FIG. 4 is a diagram showing a conventional method.

【図5】本発明の半導体素子の構造を示す図。FIG. 5 is a diagram showing a structure of a semiconductor device of the present invention.

【図6】本発明の製造プロセスの一工程を示す図。FIG. 6 is a view showing one step of the manufacturing process of the present invention.

【図7】本発明の製造プロセスの一工程を示す図。FIG. 7 is a view showing one step of the manufacturing process of the present invention.

【図8】本発明の製造プロセスの一工程を示す図。FIG. 8 is a view showing one step of the manufacturing process of the present invention.

【図9】本発明の製造プロセスの一工程を示す図。FIG. 9 is a view showing one step of the manufacturing process of the present invention.

【図10】本発明の製造プロセスの一工程を示す図。FIG. 10 is a view showing one step of the manufacturing process of the present invention.

【図11】本発明の製造プロセスの一工程を示す図。FIG. 11 is a view showing one step of the manufacturing process of the present invention.

【図12】本発明の製造プロセスの一工程を示す図。FIG. 12 is a view showing one step of the manufacturing process of the present invention.

【図13】本発明の製造プロセスの一工程を示す図。FIG. 13 is a view showing one step of the manufacturing process of the present invention.

【図14】本発明の製造プロセスの一工程を示す図。FIG. 14 is a view showing one step of the manufacturing process of the present invention.

【図15】本発明の製造プロセスの一工程を示す図。FIG. 15 is a view showing one step of the manufacturing process of the present invention.

【図16】本発明の製造プロセスの一工程を示す図。FIG. 16 is a view showing one step of the manufacturing process of the present invention.

【符号の説明】[Explanation of symbols]

100,201…シリコン基板、101…シリコンゲル
マニウムあるいはゲルマニウム、102,205…ゲー
ト電極、103…シリコン酸化膜、104…ゲート酸化
膜、105,210…不純物添加領域、106…ソース
・ドレイン、107,207…絶縁膜スペーサ、108
…多結晶シリコン、202,203,206,215…
酸化膜、204…多結晶シリコン膜、208,211…
ソース領域、209,212…ドレイン領域、213…
高濃度不純物ドープシリコンゲルマニウム、214…不
純物拡散層、216…ソース電極、217…ゲート電
極、218…ドレイン電極。
100, 201: silicon substrate, 101: silicon germanium or germanium, 102, 205: gate electrode, 103: silicon oxide film, 104: gate oxide film, 105, 210: impurity added region, 106: source / drain, 107, 207 ... insulating film spacer, 108
... polycrystalline silicon, 202, 203, 206, 215 ...
Oxide film, 204 ... polycrystalline silicon film, 208, 211 ...
Source region, 209, 212 ... drain region, 213 ...
High-concentration impurity-doped silicon germanium, 214: impurity diffusion layer, 216: source electrode, 217: gate electrode, 218: drain electrode.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 安藤 敏夫 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内 ──────────────────────────────────────────────────続 き Continued on the front page (72) Inventor Toshio Ando 2326 Imai, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd.

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】半導体基板上の主面に形成されたゲート絶
縁膜と、該ゲート絶縁膜上に形成されたゲート電極と、 前記ゲート電極を挟んで前記半導体基板の主面に形成さ
れた一導電型の2つのソース・ドレイン拡散層とを有
し、 前記ソース・ドレイン拡散層の形成された半導体基板の
主面に、半導体薄膜層が選択的に堆積され、かつ該半導
体薄膜層の縁部の一部が前記ゲート絶縁膜と接するよう
に設けられた構造を有することを特徴とするMOS型半
導体素子。
A gate insulating film formed on a main surface of the semiconductor substrate; a gate electrode formed on the gate insulating film; and a gate electrode formed on the main surface of the semiconductor substrate with the gate electrode interposed therebetween. A semiconductor thin film layer is selectively deposited on a main surface of the semiconductor substrate on which the source / drain diffusion layers are formed, and an edge of the semiconductor thin film layer Characterized in that it has a structure in which a part thereof is provided in contact with the gate insulating film.
【請求項2】請求項1記載の半導体薄膜層がゲルマニウ
ムを含んでいることを特徴とするMOS型半導体素子。
2. A MOS semiconductor device according to claim 1, wherein the semiconductor thin film layer contains germanium.
【請求項3】請求項2記載のゲート電極が多結晶膜から
なり、かつ該結晶の平均粒径が50nm以上であること
を特徴とするMOS型半導体素子。
3. The MOS type semiconductor device according to claim 2, wherein the gate electrode is made of a polycrystalline film, and the crystal has an average particle diameter of 50 nm or more.
【請求項4】半導体基板主面上に酸化膜を設ける工程、 該酸化膜上に多結晶半導体膜を設けた後、該多結晶膜を
加工してゲート電極を設ける工程、 該ゲート電極を覆うように酸化膜を設ける工程、 該ゲート電極を覆うように設けた酸化膜を加工して、該
ゲート電極の周囲に酸化膜スペーサを設ける工程、 該ゲート電極の周囲に不純物を拡散させソース・ドレイ
ン領域を形成する工程、 前記酸化膜スペーサを除去する工程、 前記ソース・ドレイン領域の上に、シリコン原子を含む
原料ガス,ゲルマニウムを含む原料ガス、及びキャリア
ガス、更に必要に応じてエッチング作用を有するガスを
含む原料ガスを用いて化学気相堆積法によりソース・ド
レイン電極を設ける工程、を含むことを特徴とするMO
S型半導体素子の製造方法。
A step of providing an oxide film on the main surface of the semiconductor substrate; a step of providing a polycrystalline semiconductor film on the oxide film; and a step of processing the polycrystalline film to provide a gate electrode; and covering the gate electrode. Forming an oxide film so as to cover the gate electrode, forming an oxide film spacer around the gate electrode, and diffusing impurities around the gate electrode to form a source / drain. Forming a region, removing the oxide film spacer, having a source gas containing silicon atoms, a source gas containing germanium, and a carrier gas on the source / drain regions, and further having an etching action as required. Providing source / drain electrodes by a chemical vapor deposition method using a source gas containing a gas.
A method for manufacturing an S-type semiconductor device.
【請求項5】請求項4において、前記多結晶からなるゲ
ート電極の平均結晶粒径が50nm以下の場合は、前記
化学気相堆積法に用いる原料ガス中のシリコン原子を含
む原料ガスとゲルマニウムを含む原料ガスの体積割合が
4:1近傍であり、 前記ゲート電極の平均結晶粒径が50nmより大きい場
合は、ゲルマニウムを含む原料ガスの割合を多くし、平
均結晶粒径が50nmより小さい場合は、ゲルマニウム
を含む原料ガスの割合を少なくすることを特徴とするM
OS型半導体素子の製造方法。
5. The method according to claim 4, wherein when the average crystal grain size of the polycrystalline gate electrode is 50 nm or less, germanium and a source gas containing silicon atoms in the source gas used for the chemical vapor deposition method are used. When the volume ratio of the raw material gas containing is around 4: 1, and the average crystal grain size of the gate electrode is larger than 50 nm, the ratio of the raw material gas containing germanium is increased. Characterized in that the proportion of the source gas containing germanium is reduced.
A method for manufacturing an OS type semiconductor device.
【請求項6】請求項4において、前記化学気相堆積法に
用いる原料ガス中の前記エッチングガスの混合量を、前
記シリコン原子を含む原料ガスとゲルマニウムを含む原
料ガスの体積割合に応じて調節することを特徴とするM
OS型半導体素子の製造方法。
6. A method according to claim 4, wherein a mixing amount of said etching gas in a source gas used for said chemical vapor deposition is adjusted according to a volume ratio of said source gas containing silicon atoms and said source gas containing germanium. M characterized by doing
A method for manufacturing an OS type semiconductor device.
JP9173496A 1997-06-30 1997-06-30 Mos semiconductor element and manufacture thereof Pending JPH1126751A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9173496A JPH1126751A (en) 1997-06-30 1997-06-30 Mos semiconductor element and manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9173496A JPH1126751A (en) 1997-06-30 1997-06-30 Mos semiconductor element and manufacture thereof

Publications (1)

Publication Number Publication Date
JPH1126751A true JPH1126751A (en) 1999-01-29

Family

ID=15961601

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9173496A Pending JPH1126751A (en) 1997-06-30 1997-06-30 Mos semiconductor element and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH1126751A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529395B1 (en) * 2000-01-28 2005-11-17 주식회사 하이닉스반도체 Semiconductor device having contact plug formed of dual epitaxial layer and method for fabricating the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529395B1 (en) * 2000-01-28 2005-11-17 주식회사 하이닉스반도체 Semiconductor device having contact plug formed of dual epitaxial layer and method for fabricating the same

Similar Documents

Publication Publication Date Title
JP5220257B2 (en) CMOS vertical replacement gate (VRG) transistor
US7078280B2 (en) Vertical replacement-gate silicon-on-insulator transistor
US7211490B2 (en) Ultra thin channel MOSFET
JP2940880B2 (en) Semiconductor device and manufacturing method thereof
TWI390666B (en) Method for fabricating soi device
US7271446B2 (en) Ultra-thin channel device with raised source and drain and solid source extension doping
JPH1197693A (en) Semiconductor device and manufacture thereof
JPH10270685A (en) Field-effect transistor and manufacture thereof, semiconductor device and manufacture thereof and logic circuit containing semiconductor device thereof and semiconductor substrate
JP2002198538A (en) Method for manufacturing semiconductor sidewall fin
TW516186B (en) Dual work function CMOS device
JPH077773B2 (en) Method for manufacturing semiconductor device
JPH08241984A (en) Fabrication of semiconductor device
JPH1126751A (en) Mos semiconductor element and manufacture thereof
JPH05243510A (en) Semiconductor integrated circuit device and manufacture thereof
JP2001326351A (en) Semiconductor device and its manufacturing method
JPH09205205A (en) Manufacture of mos-type semiconductor device and mos-type semiconductor device
KR100461156B1 (en) Method of manufacturing SiGe BICMOS devices using selective epitaxial growth
JPH0684939A (en) Manufacture of mis field-effect semiconductor device
JP2001196467A (en) Semiconductor integrated circuit device and its manufacturing method
KR0135051B1 (en) Method for manufacturing hetero junction bipolar transistor device
JPH10177956A (en) Method for manufacturing semiconductor and semiconductor device
JP2001257343A (en) Semiconductor integrated circuit device
JPH0864820A (en) Semiconductor device and method of fabrication thereof
JPH09260666A (en) Semiconductor device and its manufacturing method
JPH04359567A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050112

A131 Notification of reasons for refusal

Effective date: 20050118

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050214

A131 Notification of reasons for refusal

Effective date: 20050308

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050901

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050912

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051004

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051004

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees