JPH1078914A - Cache control circuit - Google Patents

Cache control circuit

Info

Publication number
JPH1078914A
JPH1078914A JP8233501A JP23350196A JPH1078914A JP H1078914 A JPH1078914 A JP H1078914A JP 8233501 A JP8233501 A JP 8233501A JP 23350196 A JP23350196 A JP 23350196A JP H1078914 A JPH1078914 A JP H1078914A
Authority
JP
Japan
Prior art keywords
error
cache
data
correction
processor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8233501A
Other languages
Japanese (ja)
Other versions
JP3450132B2 (en
Inventor
Shinji Watabe
晋司 渡部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP23350196A priority Critical patent/JP3450132B2/en
Publication of JPH1078914A publication Critical patent/JPH1078914A/en
Application granted granted Critical
Publication of JP3450132B2 publication Critical patent/JP3450132B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Techniques For Improving Reliability Of Storages (AREA)
  • Hardware Redundancy (AREA)
  • Debugging And Monitoring (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a cache control circuit in which even when an error is generated in a cache in a redundant processor element, the error can be prevented from being developed to an incorrectable error without shifting the synchronization of the redundant processor element. SOLUTION: When an error is detected in read data from caches 12 and 22, error detecting and correcting circuits 13 and 23 simultaneously inform interruption generating circuits 14 and 24 of their own processor elements 1 and 2, and the interruption generating circuits 24 or 24 of the other processor elements 2 and 1 that the error is detected through error communication lines 110 and 120. The interruption generating circuits 14 and 24 receive information that the error is detected from the error detecting and correcting circuits 13 and 24, and generate interruption for allowing software to recognizes the generation of the error to MPU 11 and 12.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はキャッシュ制御回路
に関し、特にフォールトトレラントコンピュータのキャ
ッシュ制御方式に関する。
The present invention relates to a cache control circuit, and more particularly to a cache control method for a fault-tolerant computer.

【0002】[0002]

【従来の技術】従来、この種のキャッシュ制御方式にお
いては、2重化メモリを備えたフォールトトレラントコ
ンピュータでフォールトトレラント性を損なわずに効率
よくキャッシュ内容を2重化メモリに反映することを目
的として用いられている。
2. Description of the Related Art Conventionally, in this type of cache control system, a fault tolerant computer equipped with a dual memory is intended to efficiently reflect cache contents in the dual memory without impairing fault tolerability. Used.

【0003】すなわち、フォールトトレラントコンピュ
ータにおいて、プロセッサエレメント内のキャッシュの
更新時に、その更新の都度、その更新内容をライトスル
ー方式で一方のメモリエレメントに反映させる逐次書出
し処理を行い、もう一方のメモリエレメントに対してチ
ェックポイント処理時に当該キャッシュのすべての更新
内容を一括して書出す一括書出し処理を行っている。
That is, in a fault-tolerant computer, each time a cache in a processor element is updated, a sequential write process of reflecting the updated content in one memory element by a write-through method is performed, and the other memory element is updated. , A batch write process for writing all the updated contents of the cache at the time of the checkpoint process.

【0004】上記のフォールトトレラントコンピュータ
におけるキャッシュの更新処理については、特開平7−
271624号公報に詳述されている。
The above-described cache update processing in the fault tolerant computer is described in Japanese Patent Laid-Open No.
It is described in detail in JP-A-271624.

【0005】[0005]

【発明が解決しようとする課題】上述した従来のキャッ
シュ制御方式では、メモリエレメントのみが冗長化され
ており、プロセッサエレメントが冗長化された場合が考
慮されていない。プロセッサエレメントを冗長化した場
合にはプロセッサエレメント自身の故障も代替のプロセ
ッサエレメントでカバーできるため、上記のチェックポ
イント処理自体が不要となり、より効率的なシステムを
構築することが可能になる。また、1つのプロセッサエ
レメントが故障した場合でもメモリからのリカバリの必
要はない。
In the conventional cache control system described above, only the memory elements are made redundant, and the case where the processor elements are made redundant is not considered. When the processor element is made redundant, the failure of the processor element itself can be covered by the substitute processor element, so that the above-mentioned checkpoint processing itself becomes unnecessary, and a more efficient system can be constructed. Further, even if one processor element fails, there is no need to recover from the memory.

【0006】しかしながら、プロセッサエレメントを冗
長化した場合にはエラーが冗長化された1つのプロセッ
サエレメントのみに発生するため、そのエラーを訂正し
てキャッシュに訂正データの書込みを行う動作が、その
エラーを発生したプロセッサエレメントのみになる。エ
ラーの発生したプロセッサエレメントのキャッシュに訂
正データを書込む場合には訂正データの書込み動作を行
っている間、プロセッサからキャッシュをアクセスする
ことができなくなる。
However, when the processor element is made redundant, an error occurs in only one of the redundant processor elements. Therefore, the operation of correcting the error and writing the corrected data to the cache causes the error to occur. Only the processor element that has occurred. When writing the correction data to the cache of the processor element in which the error has occurred, the cache cannot be accessed from the processor while the writing operation of the correction data is being performed.

【0007】そのため、例えばエラーの発生したプロセ
ッサエレメントが訂正データの書込みを行っている間に
プロセッサからキャッシュへの読出しが発生した場合、
エラーの発生していないプロセッサエレメントではキャ
ッシュからプロセッサに読出しデータが即座に返される
が、エラーの発生しているプロセッサエレメントではキ
ャッシュへの訂正データの書込みが終了するまでプロセ
ッサに読出しデータを返すことができない。
Therefore, for example, when a read from the processor to the cache occurs while the processor element in which the error has occurred is writing the correction data,
Read data is immediately returned from the cache to the processor in a processor element in which no error has occurred, but read data can be returned to the processor in the processor element in which an error has occurred until the correction data has been written to the cache. Can not.

【0008】上記のように、エラーを訂正してキャッシ
ュに訂正データを書込もうとすると、同期して動作しな
ければならない冗長化されたプロセッサエレメントの同
期がずれてしまう。そのため、プロセッサエレメントも
冗長化した場合にはキャッシュに訂正可能なエラーが検
出されても、キャッシュにエラーを訂正したデータを書
込むことができないという問題が発生する。従来技術で
はプロセッサエレメントが冗長化されていないため、こ
の問題が発生することはない。
As described above, when trying to correct an error and write corrected data to the cache, the synchronization of the redundant processor elements that must operate synchronously is lost. Therefore, when the processor element is also made redundant, even if a correctable error is detected in the cache, a problem arises in that the corrected data cannot be written to the cache. In the prior art, this problem does not occur because the processor elements are not made redundant.

【0009】そこで、本発明の目的は上記の問題点を解
消し、冗長化されたプロセッサエレメントにおいてキャ
ッシュにエラーが生じても冗長化されたプロセッサエレ
メントの同期をずらすことなく、訂正不可能なエラーに
発展することを防ぐことができるキャッシュ制御回路を
提供することにある。
SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to solve the above-mentioned problem, and even if an error occurs in a cache in a redundant processor element, an error which cannot be corrected without shifting the synchronization of the redundant processor element. Another object of the present invention is to provide a cache control circuit that can prevent the development of the cache control circuit.

【0010】[0010]

【課題を解決するための手段】本発明によるキャッシュ
制御回路は、記憶装置の内容の一部を格納するキャッシ
ュメモリと、データの書込みによって格納内容が前記記
憶装置の内容と不一致となった前記キャッシュメモリの
格納内容を前記記憶装置に書き戻す書き戻し手段とを備
えかつ互いに同期して動作する第1及び第2のプロセッ
サエレメントからなる情報処理システムのキャッシュ制
御回路であって、前記キャッシュメモリからの読出しデ
ータのエラーを検出しかつ検出されたエラーの訂正を行
うエラー検出訂正手段と、他のプロセッサエレメントの
前記エラー検出訂正手段から出力されるエラー通知に応
じて前記書き戻し手段に前記キャッシュメモリの格納内
容を前記記憶装置に書き戻すよう指示する指示手段とを
前記第1及び第2のプロセッサエレメント各々に備えて
いる。
According to the present invention, there is provided a cache control circuit comprising: a cache memory for storing a part of the content of a storage device; and a cache memory for which the storage content does not match the content of the storage device by writing data. A cache control circuit for an information processing system including first and second processor elements operating in synchronism with each other, including a write-back means for writing contents stored in a memory to the storage device; An error detection and correction unit for detecting an error in the read data and correcting the detected error; and the write back unit in response to an error notification output from the error detection and correction unit of another processor element. Instructing means for instructing the storage device to write back the stored content to the first and second storage devices. It is provided on a respective processor element.

【0011】本発明による他のキャッシュ制御回路は、
記憶装置の内容の一部を格納するキャッシュメモリを備
えかつ互いに同期して動作する第1及び第2のプロセッ
サエレメントからなる情報処理システムのキャッシュ制
御回路であって、前記キャッシュメモリからの読出しデ
ータのエラーを検出しかつ検出されたエラーの訂正を行
うエラー検出訂正手段と、前記キャッシュの読出しアド
レスを保持するアドレス保持手段と、前記エラー検出訂
正手段で前記エラーが検出された時に当該エラーを訂正
したデータを保持する訂正データ保持手段と、自プロセ
ッサエレメントの前記エラー検出訂正手段からのエラー
通知及び他プロセッサエレメントの前記エラー検出訂正
手段からのエラー通知のうちの少なくとも一方が入力さ
れた時に前記アドレス保持手段に保持されたアドレスで
前記訂正データ保持手段に保持されたデータを前記キャ
ッシュメモリに書込むよう指示する手段とを前記第1及
び第2のプロセッサエレメント各々に備えている。
Another cache control circuit according to the present invention comprises:
A cache control circuit of an information processing system including a cache memory for storing a part of the contents of a storage device and comprising a first and a second processor element operating in synchronization with each other, wherein a cache control circuit for reading data from the cache memory is provided. Error detection and correction means for detecting an error and correcting the detected error; address holding means for holding a read address of the cache; and correcting the error when the error is detected by the error detection and correction means. Correction data holding means for holding data; and the address holding when at least one of an error notification from the error detection and correction means of the own processor element and an error notification from the error detection and correction means of the other processor element is input. Means for storing the corrected data in the address held by the means. And means for instructing the writing has been data held in the unit to the cache memory to the first and second processor elements, respectively.

【0012】上記のように、本発明のキャッシュ制御方
式は冗長化されたプロセッサエレメントのキャッシュに
エラーが生じた場合に、冗長化されたプロセッサエレメ
ントの同期をずらすことなしに、キャッシュ上のエラー
を除去する。
As described above, according to the cache control method of the present invention, when an error occurs in the cache of a redundant processor element, an error in the cache can be eliminated without shifting the synchronization of the redundant processor element. Remove.

【0013】より具体的には、キャッシュからのリード
データのエラーを検出しかつエラーのあるデータのエラ
ーを訂正する機能を持つエラー検出訂正回路と、冗長化
されたプロセッサエレメントの全てのエラー検出訂正回
路から受けるエラー通知に従って、ソフトウェアに対し
てキャッシュをフラッシュさせるためにエラーが検出さ
れたことをソフトウェアに通知するための割込みを生成
する割込み生成回路とを有している。
More specifically, an error detection and correction circuit having a function of detecting an error of read data from a cache and correcting an error of erroneous data, and an error detection and correction circuit of all redundant processor elements And an interrupt generation circuit for generating an interrupt for notifying the software that an error has been detected to flush the cache to the software in accordance with the error notification received from the circuit.

【0014】また、他の方法では、キャッシュからのリ
ードデータのエラーを検出しかつエラーのあるデータの
エラーを訂正する機能を持つエラー検出訂正回路と、キ
ャッシュのリードアドレスを保持するアドレス保持手段
と、エラー検出訂正回路でエラーが検出された時にその
エラーが訂正されたキャッシュからのリードデータを保
持する訂正データ保持手段と、自プロセッサエレメント
のエラー検出訂正回路からのエラー通知またはどれか1
つ以上の他のプロセッサエレメントからのエラー通知に
よって、アドレス保持手段の保持するアドレスで訂正デ
ータ保持手段の保持するデータをキャッシュヘライトす
る指示を出す訂正データ書込み手段とを有している。
In another method, an error detection / correction circuit having a function of detecting an error in read data from a cache and correcting an error in erroneous data, and an address holding means for holding a cache read address are provided. A correction data holding means for holding read data from a cache in which an error has been corrected when an error is detected by the error detection and correction circuit;
Correction data writing means for issuing an instruction to write data held by the correction data holding means to the cache at an address held by the address holding means in response to an error notification from one or more other processor elements.

【0015】割込み生成回路によるソフトウェアでのキ
ャッシュのフラッシュ、または訂正データ書込み手段に
よるキャッシュへの訂正データの書込みによって、冗長
化されたプロセッサエレメントの同期をずらすことな
く、キャッシュ上のエラーを起こしたデータを除去する
ことが可能になる。
[0015] The data in which an error has occurred in the cache by flushing the cache with software by the interrupt generation circuit or writing the correction data to the cache by the correction data writing means without shifting the synchronization of the redundant processor elements. Can be removed.

【0016】これは通常のシステムと異なり、一般的に
訂正可能なエラーがキャッシュに生じた場合でも、フォ
ールトトレラントシステムとして冗長化されたプロセッ
サエレメントの同期の問題でサービスから切り離されて
いたプロセッサエレメントの継続動作が可能になり、信
頼性能向上をもたらす効果がある。
[0016] This is different from a normal system. In general, even when a correctable error occurs in a cache, a processor element that has been disconnected from service due to a synchronization problem of a redundant processor element as a fault tolerant system. Continuous operation becomes possible, and there is an effect of improving reliability performance.

【0017】現在はキャッシュも大容量化し、それにと
もなって放射線等によるハードウェアの故障ではないソ
フトエラーがキャッシュで発生する確率が高くなってい
る。ソフトエラーはそのエラーの訂正によって、全く元
通りに動作することが可能である。
At present, the capacity of a cache has been increased, and accordingly, the probability that a soft error, which is not a hardware failure due to radiation or the like, occurs in the cache has increased. Soft errors can be completely restored by correcting the errors.

【0018】特に信頼性が重要視されるフォールトトレ
ラントコンピュータにおいて、このキャッシュのソフト
エラーをリカバリすることで冗長化された構成のまま動
作し続けることは、大幅に信頼性を向上することにな
る。
In particular, in a fault-tolerant computer in which reliability is regarded as important, continuing to operate in a redundant configuration by recovering the cache soft error greatly improves the reliability.

【0019】[0019]

【発明の実施の形態】次に、本発明の実施の形態につい
て図面を参照して説明する。図1は本発明の第1の実施
の形態の構成を示すブロック図である。図において、プ
ロセッサエレメント1,2は2重化されているため、全
く同じ構成要素を備えている。すなわち、プロセッサエ
レメント1,2はMPU11,21と、キャッシュ1
2,22と、エラー検出訂正回路13,23と、割込み
生成回路14,24とから構成されている。
Next, embodiments of the present invention will be described with reference to the drawings. FIG. 1 is a block diagram showing the configuration of the first embodiment of the present invention. In the figure, the processor elements 1 and 2 are duplicated and therefore have exactly the same components. That is, the processor elements 1 and 2 include the MPUs 11 and 21 and the cache 1
2 and 22, error detection and correction circuits 13 and 23, and interrupt generation circuits 14 and 24.

【0020】MPU11,21はプロセッサエレメント
1,2各々で演算処理を行うマイクロプロセッサであ
る。キャッシュ12,22はMPU11,21各々のキ
ャッシュメモリである。エラー検出訂正回路13,23
はMPU11,21各々の指示によってキャッシュ1
2,22からリードされたデータのエラー検出及びエラ
ー訂正を行う回路である。
The MPUs 11 and 21 are microprocessors for performing arithmetic processing in the processor elements 1 and 2, respectively. The caches 12 and 22 are cache memories of the MPUs 11 and 21, respectively. Error detection and correction circuits 13 and 23
Is the cache 1 according to the instructions of the MPUs 11 and 21 respectively.
This is a circuit for performing error detection and error correction of data read from the data read from the memory devices 2 and 22.

【0021】エラー検出訂正回路13はキャッシュ12
からのリードデータにエラーが検出されると、自プロセ
ッサエレメント1内の割込み発生回路14ともう一方の
プロセッサエレメント2内の割込み生成回路24とにエ
ラー通知線110を通してエラーが検出されたことを同
時に通知する。同様に、エラー検出訂正回路23はエラ
ー通知線120を通して割込み生成回路14,24にエ
ラーが検出されたことを同時に通知する。
The error detection and correction circuit 13 includes a cache 12
When an error is detected in the read data from the processor element 1, the interrupt generation circuit 14 in the own processor element 1 and the interrupt generation circuit 24 in the other processor element 2 simultaneously notify that the error has been detected through the error notification line 110. Notice. Similarly, the error detection and correction circuit 23 simultaneously notifies the interrupt generation circuits 14 and 24 via the error notification line 120 that an error has been detected.

【0022】割込み生成回路14,24はエラー検出訂
正回路13またはエラー検出訂正回路23からエラーが
検出されたことが通知されると、MPU11,21に対
してエラーが起こったことをソフトウェアに認識させる
ための割込みを発生する。
When notified of the detection of the error from the error detection and correction circuit 13 or the error detection and correction circuit 23, the interrupt generation circuits 14 and 24 make the MPUs 11 and 21 recognize the software that the error has occurred. To generate an interrupt.

【0023】この図1を参照して本発明の第1の実施の
形態の動作について説明する。プロセッサエレメント
1,2は2重化されており、それらの構成要素は全く同
じ動作を行うので、基本的にプロセッサエレメント1の
構成要素の動作について説明する。尚、プロセッサエレ
メント2の各要素はプロセッサエレメント1の同じ名称
の構成要素に対応している。
The operation of the first embodiment of the present invention will be described with reference to FIG. Since the processor elements 1 and 2 are duplicated and their components perform exactly the same operation, the operation of the components of the processor element 1 will be basically described. Each element of the processor element 2 corresponds to a component of the processor element 1 having the same name.

【0024】プロセッサエレメント1でMPU11は演
算処埋を行う。この演算処理でのロード/ストアによ
り、図示せぬ主記憶からデータをリードしたり、主記憶
にデータをライトしたりする。このアクセスを高速に行
うためにキャッシュ12が設けられている。キャッシュ
12はMPU11からリード要求があると、エラー検出
訂正回路13にリードデータを送出する。
In the processor element 1, the MPU 11 performs arithmetic processing. By the load / store in this arithmetic processing, data is read from the main memory (not shown) or data is written to the main memory. A cache 12 is provided to perform this access at high speed. When receiving a read request from the MPU 11, the cache 12 sends the read data to the error detection and correction circuit 13.

【0025】エラー検出訂正回路13はキャッシュ12
からのデータにエラーがあるかどうかをチェックする。
エラーが検出されると、エラー検出訂正回路13はエラ
ー通知線110を通して自プロセッサエレメント1内の
割込み生成回路14と他プロセッサエレメント2内の割
込み生成回路24とに夫々同時にエラーが検出されたこ
とを通知する。
The error detection and correction circuit 13 includes a cache 12
Check the data from for errors.
When an error is detected, the error detection and correction circuit 13 notifies the interrupt generation circuit 14 in the own processor element 1 and the interrupt generation circuit 24 in the other processor element 2 at the same time through the error notification line 110, respectively, that the error has been detected. Notice.

【0026】また、エラー検出訂正回路13は検出した
エラーが訂正可能なエラーであれば、訂正したデータを
MPU11にリプライする。訂正不可能なエラーであれ
ば、プロセッサエレメント1が障害となってサービスか
ら切り離され、2重化されたもう一方のプロセッサエレ
メント2によって動作し続けることとなる。
If the detected error is a correctable error, the error detection and correction circuit 13 replies the corrected data to the MPU 11. In the case of an uncorrectable error, the processor element 1 becomes a failure and is disconnected from the service, and continues to be operated by the other duplicated processor element 2.

【0027】割込み生成回路14ではエラー通知線11
0を通してエラー検出訂正回路13からキャッシュ2a
のリードデータにエラーがあったことが通知されるか、
あるいはエラー通知線120を通してエラー検出訂正回
路23からキャッシュ22のリードデータにエラーがあ
ったことが通知されると、エラーがあったことをソフト
ウェアに通知するための割込みをMPU11に対して実
行する。
In the interrupt generation circuit 14, the error notification line 11
0 to the cache 2a from the error detection and correction circuit 13.
Will be notified that there was an error in the lead data for
Alternatively, when the error detection and correction circuit 23 notifies the MPU 11 of an error in the read data of the cache 22 through the error notification line 120, the MPU 11 executes an interrupt for notifying the software of the error.

【0028】したがって、プロセッサエレメント1,2
のどちらか一方にエラーが検出された場合でも、この割
込みが割込み生成回路14によりMPU11に対して、
また割込み生成回路24によりMPU21に対して同時
に実行される。
Therefore, the processor elements 1, 2
Even if an error is detected in either one of these, this interrupt is sent to the MPU 11 by the interrupt generation circuit 14.
The interrupt generation circuit 24 simultaneously executes the MPU 21.

【0029】この時点で、エラーが検出されたプロセッ
サエレメントのキャッシュ内にはエラーのあるデータが
格納されたままである。そのため、訂正不可能なエラー
に進行する前に、割込みを受取ったソフトウェアは全キ
ャッシュのフラッシュを実行する。
At this point, the erroneous data remains stored in the cache of the processor element in which the error was detected. Thus, before proceeding to an uncorrectable error, the software receiving the interrupt performs a full cache flush.

【0030】ここで、キャッシュをフラッシュするとは
プロセッサからキャッシュに対してライトが実行される
ことで、主記憶のデータとキャッシュのデータとが不一
致となった場合、キャッシュのデータのうち少なくとも
不一致となったデータ全てを主記憶に書き戻して主記憶
のデータとキャッシュのデータとを一致させ、フラッシ
ュ時のキャッシュのデータ全てを無効状態にする一連の
動作のことである。但し、本発明ではキャッシュをフラ
ッシュする際に、キャッシュから主記憶に書き戻すデー
タを全てエラー検出訂正回路13,23を通して主記憶
に送出するよう構成しているので、例えエラー検出訂正
回路13,23で訂正可能なエラーが検出されてもその
エラーが訂正された状態で不一致となったキャッシュの
データ全てが主記憶に書き戻されることとなる。
Here, flushing the cache means that the processor executes a write to the cache. If the data in the main memory and the data in the cache do not match, at least the data in the cache does not match. This is a series of operations in which all the data stored in the cache is written back to the main memory so that the data in the main memory matches the data in the cache and all the data in the cache at the time of flushing is invalidated. However, in the present invention, when the cache is flushed, all data to be written back from the cache to the main memory is sent to the main memory through the error detection and correction circuits 13 and 23. Even if an error that can be corrected is detected, all the data in the cache that did not match with the error corrected is written back to the main memory.

【0031】図3は本発明の第2の実施の形態の構成を
示すブロック図である。図において、プロセッサエレメ
ント3,4は本発明の第1の実施の形態の構成と同様に
2重化されているため、全く同じ構成要素を備えてい
る。すなわち、プロセッサエレメント3,4はMPU3
1,41と、キャッシュ32,42と、エラー検出訂正
回路33,43と、アドレス保持手段34,44と、訂
正データ保持手段35,45と、訂正データ書込み手段
36,46とから構成されている。
FIG. 3 is a block diagram showing the configuration of the second embodiment of the present invention. In the figure, the processor elements 3 and 4 are duplicated similarly to the configuration of the first embodiment of the present invention, and therefore have exactly the same components. That is, the processor elements 3 and 4 are MPU3
1, 41, caches 32 and 42, error detection and correction circuits 33 and 43, address holding means 34 and 44, correction data holding means 35 and 45, and correction data writing means 36 and 46. .

【0032】MPU31,41はプロセッサエレメント
3,4各々で演算処理を行うマイクロプロセッサであ
る。キャッシュ32,42はMPU31,41各々のキ
ャッシュメモリである。エラー検出訂正回路33,43
はMPU31,41各々の指示によってキャッシュ3
2,42からリードされたデータのエラー検出及びエラ
ー訂正を行う回路である。
The MPUs 31 and 41 are microprocessors for performing arithmetic processing in each of the processor elements 3 and 4. The caches 32 and 42 are cache memories of the MPUs 31 and 41, respectively. Error detection and correction circuits 33 and 43
Is cache 3 according to the instruction of each of the MPUs 31 and 41.
This is a circuit for performing error detection and error correction of data read from the memory devices 2, 42.

【0033】アドレス保持手段34,44,はMPU3
1,41からのキャッシュ32,42に対するリードア
ドレスを保持する。訂正データ保持手段35,45はエ
ラー検出訂正回路33,43からMPU31,41に返
されるされるリードデータを保持する。
The address holding means 34, 44, MPU3
The read addresses from the caches 1 and 41 to the caches 32 and 42 are held. The correction data holding units 35 and 45 hold the read data returned from the error detection and correction circuits 33 and 43 to the MPUs 31 and 41.

【0034】訂正データ書込み手段36はエラー検出訂
正回路33からエラー通知線130を通してエラーが検
出されたことが通知されると、自プロセッサエレメント
3でエラーが検出されたことをもう一方のプロセッサエ
レメント4の訂正データ書込み手段46に通知する。
When the error detection and correction circuit 33 notifies the error detection line 33 that the error has been detected via the error notification line 130, the correction data writing means 36 notifies the other processor element 4 that the error has been detected by the processor element 3 itself. The correction data writing means 46 is notified.

【0035】訂正データ書込み手段36はエラー検出訂
正回路33からエラーが検出したことが通知されるか、
またはもう一方のプロセッサエレメント4の訂正データ
書込み手段46からプロセッサエレメント4でエラーが
検出されたことが通知されると、アドレス保持手段34
及び訂正データ保持手段35に保持されているアドレス
及びデータにしたがってキャッシュ32へのライトを実
行する。訂正データ書込み手段46は訂正データ書込み
手段36と同等の機能である。
The correction data writing means 36 is notified by the error detection and correction circuit 33 that an error has been detected,
Alternatively, when the correction data writing means 46 of the other processor element 4 notifies that an error has been detected in the processor element 4, the address holding means 34
The write to the cache 32 is executed according to the address and data held in the correction data holding unit 35. The correction data writing means 46 has the same function as the correction data writing means 36.

【0036】この図3を参照して本発明の第2の実施の
形態の動作について説明する。プロセッサエレメント
3,4は2重化されており、それらの構成要素は全く同
じ動作を行うので、基本的にプロセッサエレメント3の
構成要素の動作について説明する。尚、プロセッサエレ
メント4の各要素はプロセッサエレメント3の同じ名称
の構成要素に対応している。
The operation of the second embodiment of the present invention will be described with reference to FIG. Since the processor elements 3 and 4 are duplicated and their components perform exactly the same operation, basically the operation of the components of the processor element 3 will be described. Each element of the processor element 4 corresponds to a component of the processor element 3 having the same name.

【0037】プロセッサエレメント3でMPU31は演
算処理を行う。その演算処理でのロード/ストアによ
り、図示せぬ主記憶からデータをリードしたり、主記憶
にデータをライトしたりする。このアクセスを高速に行
うためにキャッシュ32が設けられる。キャッシュ32
はMPU31からリード要求があると、エラー検出訂正
回路33ヘリードデータを送出する。
In the processor element 3, the MPU 31 performs arithmetic processing. By the load / store in the arithmetic processing, data is read from a main memory (not shown) or data is written to the main memory. A cache 32 is provided to perform this access at high speed. Cache 32
Sends a read data to the error detection and correction circuit 33 when there is a read request from the MPU 31.

【0038】エラー検出訂正回路33はキャッシュ32
からのデータにエラーがあるかどうかをチェックする。
エラーが検出されると、エラー検出訂正回路33はエラ
ー通知線130を通して訂正データ書込み手段36にエ
ラーが検出されたことを通知する。
The error detection and correction circuit 33 includes a cache 32
Check the data from for errors.
When an error is detected, the error detection and correction circuit 33 notifies the correction data writing means 36 via the error notification line 130 that the error has been detected.

【0039】また、エラー検出訂正回路33は検出した
エラーが訂正可能なエラーであれば、訂正したデータを
MPU31にへリプライする。訂正不可能なエラーであ
れば、プロセッサエレメント3が障害となってサービス
から切り離され、2重化されたもう一方のプロセッサエ
レメント4によって動作し続けることとなる。
If the detected error is a correctable error, the error detection and correction circuit 33 replies the corrected data to the MPU 31. In the case of an uncorrectable error, the processor element 3 becomes a failure and is disconnected from the service, and continues to be operated by the other duplicated processor element 4.

【0040】アドレス保持手段34はMPU31からキ
ャッシュ32へのリードアドレスを保持する。このと
き、訂正データ保持手段35にはアドレス保持手段34
に保持されるアドレスのデータがキャッシュ32からリ
ードされ、リードしたデータにエラーがある場合でもエ
ラー検出訂正回路33によってそのエラーが訂正された
データが保持される。
The address holding means 34 holds a read address from the MPU 31 to the cache 32. At this time, the correction data holding means 35 has the address holding means 34
Is read from the cache 32, and even if there is an error in the read data, the data whose error has been corrected by the error detection and correction circuit 33 is stored.

【0041】訂正データ書込み手段36はエラー検出訂
正回路33からエラー通知線130を通してエラーが検
出されたことが通知されると、他プロセッサエレメント
4の訂正データ書込み手段46に自プロセッサエレメン
ト3でエラーが検出されたことを報告する。同様の機能
を訂正データ書込み手段46も有しているため、プロセ
ッサエレメント4でエラーが検出された場合でも、訂正
データ書込み手段36は訂正データ書込み手段46から
の通知によって認識することができる。訂正データ書込
み手段36はエラー検出訂正回路33または訂正データ
書込み手段46からエラーの検出通知があると、アドレ
ス保持手段34のアドレスと訂正データ保持手段35の
データとに従ってキャッシュ32へのライトを行う。
When the error detection / correction circuit 33 notifies the error detection line 33 that the error has been detected through the error notification line 130, the correction data writing means 46 sends an error to the correction data writing means 46 of the other processor element 4 in its own processor element 3. Report that it was detected. Since the correction data writing means 46 also has the same function, even if an error is detected in the processor element 4, the correction data writing means 36 can recognize the error by the notification from the correction data writing means 46. Upon receiving an error detection notification from the error detection / correction circuit 33 or the correction data writing unit 46, the correction data writing unit 36 writes the data to the cache 32 according to the address of the address holding unit 34 and the data of the correction data holding unit 35.

【0042】このとき、プロセッサエレメント4で起こ
ったエラーであってもプロセッサエレメントは2重化さ
れているため、アドレス保持手段34,44に保持され
ているアドレスは必ず同じであり、訂正データ保持手段
35,45に保持されているデータもエラーが検出され
たデータもエラーの訂正後であるために必ず同じデータ
である。したがって、自プロセッサエレメント3内に保
持されているアドレスとデータとを使用しても問題はな
い。
At this time, even if an error occurs in the processor element 4, since the processor element is duplicated, the addresses held in the address holding means 34 and 44 are always the same, and the corrected data holding means The data held in 35 and 45 and the data in which an error is detected are always the same data because the error has been corrected. Therefore, there is no problem even if the address and data held in the own processor element 3 are used.

【0043】次に、本発明の実施例について図面を参照
して説明する。図2は図1のエラー検出訂正回路13の
構成を示すブロック図である。図において、エラー検出
訂正回路13はエラー検出回路13aと、シンドローム
生成回路13bと、シンドロームデコーダ13cと、エ
ラー訂正回路13dと、訂正不可エラー検出回路13e
とから構成されている。尚、エラー検出訂正回路23は
上記のエラー検出訂正回路13の構成と同じ構成となっ
ている。
Next, an embodiment of the present invention will be described with reference to the drawings. FIG. 2 is a block diagram showing a configuration of the error detection and correction circuit 13 of FIG. In the figure, an error detection and correction circuit 13 includes an error detection circuit 13a, a syndrome generation circuit 13b, a syndrome decoder 13c, an error correction circuit 13d, and an uncorrectable error detection circuit 13e.
It is composed of The error detection and correction circuit 23 has the same configuration as that of the error detection and correction circuit 13 described above.

【0044】これら図1及び図2を参照して本発明の第
1の実施の形態における実施例について説明する。MP
U11,21及びキャッシュ12,22は上述した本発
明の第1の実施の形態の動作の説明通りである。エラー
検出訂正回路13,23はエラー検出と訂正にECC
(エラーチェック&コレクション)コードを使用した実
施例を図2に示す。通常、ECCコードには1ビットエ
ラーの訂正と2ビットエラーの検出が可能なコードが使
用される。
An example according to the first embodiment of the present invention will be described with reference to FIGS. MP
U11, U21 and caches 12, 22 are as described for the operation of the first embodiment of the present invention described above. The error detection and correction circuits 13 and 23 use ECC for error detection and correction.
FIG. 2 shows an embodiment using (error check & collection) code. Usually, a code capable of correcting a 1-bit error and detecting a 2-bit error is used as the ECC code.

【0045】エラー検出回路13a,23a(エラー検
出回路23aは図示せず)では全データのビットと全E
CCコードの排他的論理和をとることで、訂正可能なエ
ラーがあるかどうかを検出する。エラー検出回路13
a,23aの結果はエラー通知線110またはエラー通
知線120に出力され、割込み生成回路14,24に通
知される。
In error detection circuits 13a and 23a (error detection circuit 23a is not shown), bits of all data and all E
The exclusive OR of the CC codes is used to detect whether there is a correctable error. Error detection circuit 13
The results of a and 23a are output to the error notification line 110 or the error notification line 120, and are notified to the interrupt generation circuits 14 and 24.

【0046】シンドローム生成回路13b,23b(シ
ンドローム生成回路23bは図示せず)は予め規定され
たシンドロームの生成パターンにしたがって、シンドロ
ームを生成する。エラーがない場合のシンドロームは、
通常オール“0”である。
The syndrome generation circuits 13b and 23b (the syndrome generation circuit 23b is not shown) generate a syndrome according to a predetermined syndrome generation pattern. Syndrome without error,
Usually, it is all "0".

【0047】生成されたシンドロームはシンドロームデ
コーダ13c,23c(シンドロームデコーダ23cは
図示せず)でデコードされ、エラーの発生したデータに
対応するビットのみが“1”になっているリードデータ
と同じビット数のデコード結果が得られる。このデコー
ド結果もシンドロームと同様に、エラーがない場合はオ
ール“0”である。
The generated syndrome is decoded by the syndrome decoders 13c and 23c (the syndrome decoder 23c is not shown), and the same number of bits as the read data in which only the bit corresponding to the data in which the error has occurred is "1". Is obtained. As in the case of the syndrome, this decoding result is all “0” when there is no error.

【0048】シンドロームデコーダ13c,23cのデ
コード結果とキャッシュ12,22からのリードデータ
の各ビットの排他的論理和をとるエラー訂正回路13
d,23d(エラー訂正回路23dは図示せず)によっ
て、訂正可能な1ビットエラーが訂正される。
An error correction circuit 13 which takes the exclusive OR of the decoding results of the syndrome decoders 13c and 23c and each bit of the read data from the caches 12 and 22
A correctable 1-bit error is corrected by d and 23d (the error correction circuit 23d is not shown).

【0049】訂正不可エラー検出回路13e,23e
(訂正不可エラー検出回路23eは図示せず)は訂正不
可能な2ビット以上のエラーであるかどうかを検出す
る。エラー検出回路13a,23aでエラーが検出され
ていないにも関わらず、シンドローム生成回路13b,
23bの結果がオール“0”でない場合、及びシンドロ
ーム生成回路13b,23bの結果が規定していないパ
ターンであった場合には訂正不可能なエラーとして判断
する。
Uncorrectable error detection circuits 13e and 23e
(The uncorrectable error detection circuit 23e is not shown) detects whether there is an uncorrectable error of two or more bits. Although no error is detected by the error detection circuits 13a and 23a, the syndrome generation circuits 13b and
If the result of 23b is not all "0" or if the result of the syndrome generation circuits 13b and 23b is an unspecified pattern, it is determined as an uncorrectable error.

【0050】訂正不可能なエラーが検出された場合はリ
カバリが不可能なため、訂正不可能なエラーの検出され
たプロセッサエレメントがサービスより切り離され、フ
ォールトトレラントシステムは2重化されたもう一方の
プロセッサエレメントが処理を継続している。
If an uncorrectable error is detected, recovery cannot be performed. Therefore, the processor element in which the uncorrectable error is detected is disconnected from the service, and the fault-tolerant system is switched to the other of the duplicated. The processor element is continuing processing.

【0051】以上のエラー検出訂正回路13,23によ
るエラー訂正は、キャッシュ12,22からリードした
データにエラーがなければ必要はない。しかしながら、
システムによっては図2に示されるエラーの訂正に数ク
ロックサイクルを要する場合があり、その場合にはエラ
ーを訂正するかしないかでMPU11,21に対するリ
プライのタイミングが異なってくる。
The error correction by the error detection and correction circuits 13 and 23 is not necessary if there is no error in the data read from the caches 12 and 22. However,
Depending on the system, the correction of the error shown in FIG. 2 may require several clock cycles. In this case, the timing of the reply to the MPUs 11 and 21 differs depending on whether or not the error is corrected.

【0052】MPU11,21のリプライのタイミング
が異なると、プロセッサエレメント1とプロセッサエレ
メント2との同期がずれるという問題が発生する。これ
を防ぐために、エラー検出訂正回路13,23は常にエ
ラーを訂正するように動作する。つまり、常にエラー訂
正回路13d,23dの出力結果がMPU11,21に
返される。
If the timings of the replies of the MPUs 11 and 21 are different, there arises a problem that the synchronization between the processor element 1 and the processor element 2 is shifted. To prevent this, the error detection and correction circuits 13 and 23 always operate to correct the error. That is, the output results of the error correction circuits 13d and 23d are always returned to the MPUs 11 and 21.

【0053】エラー検出訂正回路13,23でキャッシ
ュ12,22からのリードデータにエラーが検出された
場合、一般的なシステムであればエラー訂正後のデータ
をキャッシュ12,22にライトし直すことによって、
キャッシュ12,22に存在するエラーを訂正してい
る。しかしながら、プロセッサエレメント1,2を2重
化しているような場合には、エラーの発生した一方のプ
ロセッサエレメントのみがエラー訂正データをキャッシ
ュヘライトし直す動作を行うと、2重化の同期がずれる
という問題が発生する。
When an error is detected in the read data from the caches 12 and 22 in the error detection and correction circuits 13 and 23, the data after the error correction is rewritten to the caches 12 and 22 in a general system by rewriting the data. ,
The errors existing in the caches 12 and 22 are corrected. However, in a case where the processor elements 1 and 2 are duplicated, if only one of the processor elements in which an error has occurred performs an operation of rewriting the error correction data to the cache, the synchronization of the duplication is lost. The problem occurs.

【0054】ここで、割込み生成回路14,24はエラ
ー通知線110,120のエラー通知信号をORして、
MPU11,21に割込みを生成する。この割込みはオ
ペレーティングシステムによって受取られ、キャッシュ
からのリードデータにエラーを検出したことを認識する
と、キャッシュ12,22をフラッシュするように指示
を出す。キャッシュにエラー訂正後のデータをライトし
直す代わりに、キャッシュ12,22を同時にフラッシ
ュすることによって、プロセッサエレメント1,2の同
期をずらすことなく、エラーを含むデータをキャッシュ
上からなくしている。
Here, the interrupt generation circuits 14 and 24 OR the error notification signals of the error notification lines 110 and 120, and
An interrupt is generated in the MPUs 11 and 21. This interrupt is received by the operating system, and when recognizing that an error has been detected in the read data from the cache, it issues an instruction to flush the caches 12 and 22. Instead of rewriting the error-corrected data in the cache, the caches 12 and 22 are flushed at the same time, so that the data containing the error is not stored in the cache without shifting the synchronization of the processor elements 1 and 2.

【0055】図4は図3の訂正データ書込み手段36の
構成を示すブロック図である。図において、訂正データ
書込み手段36はエラーF/F(フリップフロップ)3
6aと、アドレスレジスタ36bと、データレジスタ3
6cと、オア回路36dとから構成されている。尚、訂
正データ書込み手段46は上記の訂正データ書込み手段
36の構成と同じ構成となっている。
FIG. 4 is a block diagram showing the configuration of the correction data writing means 36 of FIG. In the figure, the correction data writing means 36 has an error F / F (flip-flop) 3
6a, an address register 36b, and a data register 3
6c and an OR circuit 36d. The correction data writing means 46 has the same configuration as that of the correction data writing means 36 described above.

【0056】これら図3及び図4を参照して本発明の第
2の実施の形態における実施例について説明する。本発
明の第1の実施の形態がソフトウェアによってキャッシ
ュをフラッシュすることでキャッシュ上からエラーの起
こったデータを追い出すのに対して、本発明の第2の実
施の形態ではキャッシュのリード時にエラーの起こった
データとアドレスとを保持し、2重化されたプロセッサ
エレメントの両方において同時に訂正データをキャッシ
ュにライトすることによって、2重化されたプロセッサ
エレメントの同期をずらすことなくキャッシュ上のエラ
ーの起こったデータを訂正する機能を持つ。
An example according to the second embodiment of the present invention will be described with reference to FIGS. While the first embodiment of the present invention flushes out the cached data by software to flush out the cached data from the cache, the second embodiment of the present invention provides a method for reading out the cached data when an error occurs. An error occurred in the cache without shifting the synchronization of the duplicated processor element by holding the duplicated data and the address and simultaneously writing the corrected data to the cache in both of the duplicated processor elements. Has a function to correct data.

【0057】MPU31,41及びキャッシュ32,4
2は上述した本発明の第2の実施の形態の動作の説明通
りである。エラー検出訂正回路33,43は本発明の第
1の実施例のエラー検出訂正回路13,23と同様の構
成であり、本発明の第1の実施例で説明した通りであ
る。エラー検出訂正回路33,43のエラー検出回路で
訂正可能なエラーが検出されると、エラー通知線13
0,140を通して訂正データ書込み手段36,46に
エラーが検出されたことが通知される。
MPUs 31, 41 and caches 32, 4
2 is as described for the operation of the above-described second embodiment of the present invention. The error detection and correction circuits 33 and 43 have the same configuration as the error detection and correction circuits 13 and 23 of the first embodiment of the present invention, and are as described in the first embodiment of the present invention. When a correctable error is detected by the error detection circuits of the error detection and correction circuits 33 and 43, the error notification line 13
0, 140 is notified to the correction data writing means 36, 46 that an error has been detected.

【0058】エラー検出訂正回路33,43でキャッシ
ュ32,42からのリードデータにエラーが検出された
場合、一般的なシステムであればエラー訂正後のデータ
をキャッシュにライトし直すことによって、キャッシュ
に存在するエラーを訂正している。
When an error is detected in the read data from the caches 32 and 42 in the error detection and correction circuits 33 and 43, in a general system, the data after the error correction is rewritten in the cache, and the data is stored in the cache. Correct any existing errors.

【0059】しかしながら、プロセッサエレメント3,
4を2重化しているような場合、エラーの発生した一方
のプロセッサエレメントのみにおいてエラー訂正データ
をキャッシュヘライトし直す動作を行うことによって2
重化の同期がずれるという問題が発生する。そこで、一
方のプセロッサエレメントのみでなく、両方のプロセッ
サエレメントのキャッシュに同時に訂正データをライト
し直すことによって、2重化の同期をずらすことなしに
キャッシュに存在するデータを訂正する構成を図3に示
してある。
However, the processor elements 3,
4 is duplicated, the operation of rewriting the error correction data to the cache in only one of the processor elements in which the error has occurred is performed.
There is a problem that the synchronization of weighting is shifted. In view of this, a configuration is shown in which the correction data is written simultaneously to the caches of both processor elements as well as one of the processor elements, thereby correcting the data existing in the cache without shifting the synchronization of the duplexing. It is shown in FIG.

【0060】アドレス保持手段34,44はMPU3
1,41からキャッシュ32,42をリードした時のア
ドレスを保持する。訂正データ保持手段35,45はエ
ラー検出訂正回路33,43で訂正可能なエラーが訂正
された後のデータを保持する。
The address holding means 34 and 44 are provided by the MPU 3
The addresses at the time when the caches 32, 42 are read from the cache memory 1, 41 are held. The correction data holding units 35 and 45 hold data after errors that can be corrected by the error detection and correction circuits 33 and 43 are corrected.

【0061】訂正データ書込み手段36,46の詳細な
構成は図4に示す通りである。訂正データ書込み手段3
6ではアドレス保持手段34に保持されているアドレス
をアドレスレジスタ36bに格納し、訂正データ保持手
段35に保持されているデータをデータレジスタ36c
に保持する。エラー検出訂正回路33からのエラー通知
線130を通してのエラー通知はエラーF/F36aに
格納される。
The detailed configuration of the correction data writing means 36, 46 is as shown in FIG. Correction data writing means 3
In step 6, the address held in the address holding means 34 is stored in the address register 36b, and the data held in the correction data holding means 35 is stored in the data register 36c.
To hold. The error notification from the error detection and correction circuit 33 through the error notification line 130 is stored in the error F / F 36a.

【0062】エラーF/F36aに格納された自プロセ
ッサのキャッシュ32のリードデータにエラーが検出さ
れたことをもう一方のプロセッサエレメント4の訂正デ
ータ書込み手段46に通知する。
The detection of an error in the read data of the cache 32 of the own processor stored in the error F / F 36a is notified to the correction data writing means 46 of the other processor element 4.

【0063】オア回路36dではエラーF/F36aに
格納されている自プロセッサエレメントのエラー通知信
号と、他プロセッサエレメント4からのエラー通知信号
とをオアし、キャッシュ32に対するライトを行うイネ
ーブル信号を生成する。このライトイネーブル信号に対
するキャッシュ32へのアドレスはアドレスレジスタ3
6bに格納されているアドレスであり、データはデータ
レジスタ36cに格納されているデータである。
The OR circuit 36d ORs the error notification signal of its own processor element stored in the error F / F 36a and the error notification signal from the other processor element 4, and generates an enable signal for writing to the cache 32. . The address to the cache 32 for this write enable signal is stored in the address register 3
6b, and the data is data stored in the data register 36c.

【0064】オア回路36dによって自プロセッサエレ
メント3と他プロセッサエレメント4のエラー通知をオ
アすることで、どちらか一方のプロセッサエレメントで
起こったエラーであっても、両方のプロセッサエレメン
ト3,4で同時にキャッシュ32,42へのライト指示
を行うことになる。
By ORing the error notification of the own processor element 3 and the other processor element 4 by the OR circuit 36d, even if an error occurs in one of the processor elements, the cache is simultaneously cached in both the processor elements 3 and 4. Write instructions to 32 and 42 will be issued.

【0065】アドレス保持レジスタ34,44に保持さ
れているアドレスと、訂正データ保持手段35,45に
保持されているデータは必ず等しいため、他プロセッサ
ユニットにエラーが検出された場合でも、自プロセッサ
ユニットに保持されているアドレスとデータとを用いて
キャッシュへのライトを行うことが許される。
Since the address held in the address holding registers 34 and 44 and the data held in the correction data holding means 35 and 45 are always equal, even if an error is detected in another processor unit, the own processor unit may be used. Write to the cache using the address and data held in the cache.

【0066】以上のようにして、2重化されたプロセッ
サエレメントにおいて、キャッシュからのリードデータ
にエラーを検出し、キャッシュにエラーのあるデータが
あることが判明した時に、2重化されたプロセッサエレ
メントの同期をずらすことなく、キャッシュ中のエラー
のあるデータを除去することが可能になる。
As described above, in the duplicated processor element, an error is detected in the read data from the cache, and when it is determined that there is erroneous data in the cache, the duplicated processor element Erroneous data in the cache can be removed without shifting the synchronization of the data.

【0067】[0067]

【発明の効果】以上説明したように本発明のキャッシュ
制御回路によれば、記憶装置の内容の一部を格納するキ
ャッシュメモリからの読出しデータのエラーを検出しか
つ検出されたエラーの訂正を行うとともに、データの書
込みによって格納内容が記憶装置の内容と不一致となっ
たキャッシュメモリの格納内容を記憶装置に書き戻す書
き戻し手段に他のプロセッサエレメントから出力される
エラー通知に応じてキャッシュメモリの格納内容を記憶
装置に書き戻すよう指示することによって、冗長化され
たプロセッサエレメントにおいてキャッシュにエラーが
生じても冗長化されたプロセッサエレメントの同期をず
らすことなく、訂正不可能なエラーに発展することを防
ぐことができるという効果がある。
As described above, according to the cache control circuit of the present invention, an error of data read from a cache memory for storing a part of the contents of a storage device is detected and the detected error is corrected. At the same time, the storage contents of the cache memory, whose storage contents have become inconsistent with the contents of the storage device due to the data writing, are written back to the storage device. By instructing the contents to be written back to the storage device, even if an error occurs in the cache in the redundant processor element, it is possible to develop an uncorrectable error without shifting the synchronization of the redundant processor element. The effect is that it can be prevented.

【0068】また、本発明の他のキャッシュ制御回路に
よれば、記憶装置の内容の一部を格納するキャッシュメ
モリからの読出しデータのエラーを検出しかつ検出され
たエラーの訂正を行うとともに、自プロセッサエレメン
トからのエラー通知及び他プロセッサエレメントからの
エラー通知のうちの少なくとも一方が入力された時にア
ドレス保持手段に保持された読出しアドレスで訂正デー
タ保持手段に保持されたデータをキャッシュメモリに書
込むよう指示することによって、冗長化されたプロセッ
サエレメントにおいてキャッシュにエラーが生じても冗
長化されたプロセッサエレメントの同期をずらすことな
く、訂正不可能なエラーに発展することを防ぐことがで
きるという効果がある。
According to another cache control circuit of the present invention, an error in data read from a cache memory storing a part of the contents of a storage device is detected, and the detected error is corrected. When at least one of an error notification from a processor element and an error notification from another processor element is input, the data held in the corrected data holding means is written to the cache memory with the read address held in the address holding means. By giving the instruction, even if an error occurs in the cache in the redundant processor element, it is possible to prevent the occurrence of an uncorrectable error without shifting the synchronization of the redundant processor element. .

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施の形態の構成を示すブロッ
ク図である。
FIG. 1 is a block diagram showing a configuration of a first exemplary embodiment of the present invention.

【図2】図1のエラー検出訂正回路の構成を示すブロッ
ク図である。
FIG. 2 is a block diagram illustrating a configuration of an error detection and correction circuit of FIG. 1;

【図3】本発明の第2の実施の形態の構成を示すブロッ
ク図である。
FIG. 3 is a block diagram showing a configuration of a second exemplary embodiment of the present invention.

【図4】図3の訂正データ書込み手段の構成を示すブロ
ック図である。
FIG. 4 is a block diagram illustrating a configuration of a correction data writing unit in FIG. 3;

【符号の説明】[Explanation of symbols]

1〜4 プロセッサエレメント 11,21,31,41 MPU 12,22,32,42 キャッシュ 13,23,33,43 エラー検出訂正回路 13a エラー検出回路 13b シンドローム生成回路 13c シンドロームデコーダ 13d エラー訂正回路、 13e 訂正不可エラー検出回路 14,24 割込み生成回路 34,44 アドレス保持手段 35,45 訂正データ保持手段 36,46 訂正データ書込み手段 36a エラーF/F 36b アドレスレジスタ、 36c データレジスタ 36d オア回路 110,120,130,140 エラー通知線 1-4 Processor element 11, 21, 31, 41 MPU 12, 22, 32, 42 Cache 13, 23, 33, 43 Error detection and correction circuit 13a Error detection circuit 13b Syndrome generation circuit 13c Syndrome decoder 13d Error correction circuit, 13e correction Impossible error detection circuit 14, 24 Interrupt generation circuit 34, 44 Address holding means 35, 45 Correction data holding means 36, 46 Correction data writing means 36a Error F / F 36b Address register, 36c Data register 36d OR circuit 110, 120, 130 , 140 Error notification line

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 記憶装置の内容の一部を格納するキャッ
シュメモリと、データの書込みによって格納内容が前記
記憶装置の内容と不一致となった前記キャッシュメモリ
の格納内容を前記記憶装置に書き戻す書き戻し手段とを
備えかつ互いに同期して動作する第1及び第2のプロセ
ッサエレメントからなる情報処理システムのキャッシュ
制御回路であって、 前記キャッシュメモリからの読出しデータのエラーを検
出しかつ検出されたエラーの訂正を行うエラー検出訂正
手段と、 前記エラー検出訂正手段及び他のプロセッサエレメント
の前記エラー検出訂正手段から出力されるエラー通知に
応じて前記書き戻し手段に前記キャッシュメモリの格納
内容を前記記憶装置に書き戻すよう指示する指示手段と
を前記第1及び第2のプロセッサエレメント各々に有す
ることを特徴とするキャッシュ制御回路。
1. A cache memory for storing a part of the contents of a storage device, and a write-back operation for writing back the storage contents of the cache memory whose contents have become inconsistent with the contents of the storage device due to data writing. A cache control circuit of an information processing system including first and second processor elements operating in synchronization with each other, comprising: a return unit; and detecting an error in data read from the cache memory and detecting the detected error. An error detection and correction unit for correcting the error, and an error notification output from the error detection and correction unit of the other processor element. Instruction means for instructing writing back to the first and second processor elements Cache control circuitry, characterized in that it comprises people to.
【請求項2】 前記指示手段は、前記キャッシュメモリ
の格納内容を前記記憶装置に書き戻すよう指示するため
の割込み信号を前記書き戻し手段に出力するよう構成し
たことを特徴とする請求項1記載のキャッシュ制御回
路。
2. The writing device according to claim 1, wherein the instruction unit outputs an interrupt signal for instructing the storage device to write back the stored content of the cache memory to the storage device. Cache control circuit.
【請求項3】 前記書き戻し手段は、不一致となった前
記キャッシュメモリの格納内容を前記記憶装置に書き戻
す際に前記エラー検出訂正手段で検出された訂正可能な
エラーを訂正した後に前記記憶装置に書き戻すよう構成
したことを特徴とする請求項1または請求項2記載のキ
ャッシュ制御回路。
3. The storage device according to claim 2, wherein the write-back unit corrects a correctable error detected by the error detection and correction unit when writing back the mismatched storage content of the cache memory to the storage device. 3. The cache control circuit according to claim 1, wherein the cache control circuit is configured to write back the data.
【請求項4】 記憶装置の内容の一部を格納するキャッ
シュメモリを備えかつ互いに同期して動作する第1及び
第2のプロセッサエレメントからなる情報処理システム
のキャッシュ制御回路であって、 前記キャッシュメモリからの読出しデータのエラーを検
出しかつ検出されたエラーの訂正を行うエラー検出訂正
手段と、 前記キャッシュの読出しアドレスを保持するアドレス保
持手段と、 前記エラー検出訂正手段で前記エラーが検出された時に
当該エラーを訂正したデータを保持する訂正データ保持
手段と、 自プロセッサエレメントの前記エラー検出訂正手段から
のエラー通知及び他プロセッサエレメントの前記エラー
検出訂正手段からのエラー通知のうちの少なくとも一方
が入力された時に前記アドレス保持手段に保持されたア
ドレスで前記訂正データ保持手段に保持されたデータを
前記キャッシュメモリに書込むよう指示する手段とを前
記第1及び第2のプロセッサエレメント各々に有するこ
とを特徴とするキャッシュ制御回路。
4. A cache control circuit for an information processing system comprising a cache memory for storing a part of the contents of a storage device and comprising a first and a second processor element operating in synchronization with each other, wherein the cache memory Error detection and correction means for detecting an error in the data read from and correcting the detected error; address holding means for holding the read address of the cache; and when the error is detected by the error detection and correction means. Corrected data holding means for holding data obtained by correcting the error, and at least one of an error notification from the error detection and correction means of the own processor element and an error notification from the error detection and correction means of the other processor element are inputted. At the address held in the address holding means when Cache control circuitry, characterized in that it comprises a means for indicating the data held in the serial correction data holding means and said cache memory in writing as in the first and second processor elements, respectively.
JP23350196A 1996-09-04 1996-09-04 Cache control circuit Expired - Fee Related JP3450132B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23350196A JP3450132B2 (en) 1996-09-04 1996-09-04 Cache control circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23350196A JP3450132B2 (en) 1996-09-04 1996-09-04 Cache control circuit

Publications (2)

Publication Number Publication Date
JPH1078914A true JPH1078914A (en) 1998-03-24
JP3450132B2 JP3450132B2 (en) 2003-09-22

Family

ID=16956021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23350196A Expired - Fee Related JP3450132B2 (en) 1996-09-04 1996-09-04 Cache control circuit

Country Status (1)

Country Link
JP (1) JP3450132B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100464290C (en) * 2007-09-10 2009-02-25 杭州华三通信技术有限公司 Caching management system
US7552359B2 (en) 2004-12-21 2009-06-23 Nec Corporation Computer system and method for dealing with errors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7552359B2 (en) 2004-12-21 2009-06-23 Nec Corporation Computer system and method for dealing with errors
CN100464290C (en) * 2007-09-10 2009-02-25 杭州华三通信技术有限公司 Caching management system

Also Published As

Publication number Publication date
JP3450132B2 (en) 2003-09-22

Similar Documents

Publication Publication Date Title
US5274646A (en) Excessive error correction control
US5912906A (en) Method and apparatus for recovering from correctable ECC errors
US7085959B2 (en) Method and apparatus for recovery from loss of lock step
US6718494B1 (en) Method and apparatus for preventing and recovering from TLB corruption by soft error
US5233616A (en) Write-back cache with ECC protection
EP0178670A2 (en) Control store memory read error resiliency method and apparatus
US4942575A (en) Error connection device for parity protected memory systems
JP2004514184A (en) Method and apparatus for correcting soft errors in digital data
JP2001249911A (en) Data transfer method and data processing system
JP3270367B2 (en) Semiconductor storage device
JP3068009B2 (en) Error correction mechanism for redundant memory
EP0709782B1 (en) Error detection system and method for mirrored memory between dual disk storage controllers
JPH0887424A (en) Electronic computer
JP2731745B2 (en) Data failure handling device
JP3450132B2 (en) Cache control circuit
JP2001290710A (en) Device for detecting data error
US20020066049A1 (en) Protection for memory modification tracking
US20210294691A1 (en) Data processing device and data processing method
US4942578A (en) Buffer control method and apparatus
RU2327236C2 (en) Random access memory with high extent of fault tolerance
JP3788822B2 (en) Computer system and failure recovery method in the system
JPH06149685A (en) Memory error recovering circuit
JP2891897B2 (en) Store-in cache failure handling system
JP2000099410A (en) Memory control circuit and information processor
JPH06250936A (en) Computer system

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees