JPH10303310A - Formation of gate electrode in semiconductor device - Google Patents

Formation of gate electrode in semiconductor device

Info

Publication number
JPH10303310A
JPH10303310A JP9112339A JP11233997A JPH10303310A JP H10303310 A JPH10303310 A JP H10303310A JP 9112339 A JP9112339 A JP 9112339A JP 11233997 A JP11233997 A JP 11233997A JP H10303310 A JPH10303310 A JP H10303310A
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
silicon
gate electrode
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9112339A
Other languages
Japanese (ja)
Inventor
Nobufumi Tanaka
伸史 田中
Atsushi Suzuki
篤 鈴木
Akihide Kashiwagi
章秀 柏木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP9112339A priority Critical patent/JPH10303310A/en
Publication of JPH10303310A publication Critical patent/JPH10303310A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a method for forming a gate electrode with silicon oxide films having different thicknesses, a high initial breakdown voltage and an excellent long-term reliability, while avoiding a significant increase in the number of manufacturing steps. SOLUTION: In the method, a silicon oxide film 42 is first formed on a silicon layer 40 (step 1). A first conductive layer 43 is formed on the film 42 (step 2). Thereafter, the first conductive film 43 is selectively removed to expose part of the film 42 (step 3). The exposed silicon oxide film 42 is then made thin in thickness (step 4). The thin film is subjected to a heat treatment (step 5). A second conductive layer is formed on the resultant entire film and then the second layer and the first conductive layer 43 are subjected to a patterning process (step 6).

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、第1の半導体素子
と、この第1の半導体素子のゲート電極を構成するシリ
コン酸化膜の厚さとは異なる厚さを有するシリコン酸化
膜から構成されたゲート電極を備えた第2の半導体素子
とから成る半導体装置における各ゲート電極の作製方法
に関する。
The present invention relates to a gate formed of a first semiconductor device and a silicon oxide film having a thickness different from that of a silicon oxide film forming a gate electrode of the first semiconductor device. The present invention relates to a method for manufacturing each gate electrode in a semiconductor device including a second semiconductor element provided with an electrode.

【0002】[0002]

【従来の技術】年々、半導体装置においては、高集積
化、高性能化、多様化が進められており、半導体装置の
特性に関する要求も多種多様になっている。例えば、集
積回路内に形成された複数のトランジスタ素子に同一電
源から電圧が供給されたとしても、回路の内部抵抗等に
起因した電圧降下が生じる。その結果、それぞれのトラ
ンジスタ素子のゲート電極に印加される電圧が相違し、
或る電圧で動作するトランジスタ素子と、それよりも低
い電圧で動作するトランジスタ素子とに分かれる場合が
ある。それ故、例えばトランジスタ素子がMOS型FE
Tから構成されている場合、トランジスタ素子のゲート
電極へ印加される電圧に応じてゲート絶縁膜の膜厚を変
化させることが望ましい。具体的には、例えば半導体装
置のメモリ回路を構成するトランジスタ素子と周辺回路
を構成するトランジスタ素子、あるいは又、pチャネル
型トランジスタ素子とnチャネル型トランジスタ素子と
で、ゲート絶縁膜の膜厚を変化させることが望ましい。
このように、近年の半導体装置に対する要求の1つに、
高い信頼性にて、しかも、半導体装置におけるゲート電
極の作製工程を大幅に増加させることなく、異なる膜厚
を有するゲート酸化膜を形成する技術を挙げることがで
きる。
2. Description of the Related Art Higher integration, higher performance, and diversification of semiconductor devices are being promoted year by year, and requirements regarding the characteristics of semiconductor devices are also being diversified. For example, even if a voltage is supplied from the same power supply to a plurality of transistor elements formed in an integrated circuit, a voltage drop occurs due to an internal resistance or the like of the circuit. As a result, the voltage applied to the gate electrode of each transistor element differs,
There are cases where a transistor element operating at a certain voltage and a transistor element operating at a lower voltage are divided. Therefore, for example, if the transistor element is a MOS type FE
In the case of using T, it is desirable to change the thickness of the gate insulating film according to the voltage applied to the gate electrode of the transistor element. Specifically, for example, the thickness of a gate insulating film is changed between a transistor element forming a memory circuit of a semiconductor device and a transistor element forming a peripheral circuit, or between a p-channel transistor element and an n-channel transistor element. It is desirable to make it.
Thus, one of the recent demands for semiconductor devices is:
A technique for forming gate oxide films having different thicknesses with high reliability and without significantly increasing the number of steps for manufacturing a gate electrode in a semiconductor device can be given.

【0003】第1の半導体素子と、この第1の半導体素
子のゲート電極を構成するシリコン酸化膜の厚さとは異
なる厚さを有するシリコン酸化膜から構成されたゲート
電極を備えた第2の半導体素子とから成る半導体装置の
従来のゲート電極作製方法を、シリコン半導体基板等の
模式的な一部断面図である図17及び図18を参照し
て、以下、説明する。尚、第1の半導体素子を形成すべ
きシリコン半導体基板の領域を各図の右側に示し、第2
の半導体素子を形成すべきシリコン半導体基板の領域を
各図の左側に示す。
A first semiconductor device and a second semiconductor device having a gate electrode formed of a silicon oxide film having a thickness different from that of a silicon oxide film forming a gate electrode of the first semiconductor device. A conventional method for manufacturing a gate electrode of a semiconductor device including elements will be described below with reference to FIGS. 17 and 18 which are schematic partial cross-sectional views of a silicon semiconductor substrate and the like. The region of the silicon semiconductor substrate on which the first semiconductor element is to be formed is shown on the right side of each drawing,
The region of the silicon semiconductor substrate on which the semiconductor element is to be formed is shown on the left side of each figure.

【0004】先ず、図17の(A)に示すように、例え
ばLOCOS構造を有する素子分離領域111が形成さ
れたシリコン半導体基板110の素子形成領域の表面
に、熱酸化法にて第1のシリコン酸化膜112Aを形成
する。次いで、パターニングされたレジスト113をエ
ッチング用マスクとして(図17の(B)参照)、例え
ばフッ化水素酸水溶液を用いて第1のシリコン酸化膜1
12Aを選択的に除去し、シリコン半導体基板110の
一部分を露出させる(図17の(C)参照)。その後、
レジスト113を除去し(図17の(D)参照)、露出
したシリコン半導体基板110の表面に熱酸化法によっ
て第2のシリコン酸化膜112Bを形成する(図18の
(A)参照)。このとき、シリコン半導体基板110の
素子形成領域の一部の表面に残された第1のシリコン酸
化膜112Aの膜厚は厚くなる。こうして、厚いシリコ
ン酸化膜112Aを有する素子形成領域、及び薄いシリ
コン酸化膜112Bを有する素子形成領域をシリコン半
導体基板110に設けることができる。
First, as shown in FIG. 17A, first silicon is formed on a surface of an element formation region of a silicon semiconductor substrate 110 in which an element isolation region 111 having a LOCOS structure is formed by a thermal oxidation method. An oxide film 112A is formed. Next, using the patterned resist 113 as an etching mask (see FIG. 17B), the first silicon oxide film 1 is formed using, for example, an aqueous hydrofluoric acid solution.
12A is selectively removed to expose a part of the silicon semiconductor substrate 110 (see FIG. 17C). afterwards,
The resist 113 is removed (see FIG. 17D), and a second silicon oxide film 112B is formed on the exposed surface of the silicon semiconductor substrate 110 by a thermal oxidation method (see FIG. 18A). At this time, the thickness of the first silicon oxide film 112A remaining on a part of the surface of the element formation region of the silicon semiconductor substrate 110 is increased. Thus, an element formation region having the thick silicon oxide film 112A and an element formation region having the thin silicon oxide film 112B can be provided in the silicon semiconductor substrate 110.

【0005】その後、各シリコン酸化膜112A,11
2Bの上に、例えば多結晶シリコン層114をCVD法
にて形成し、かかる多結晶シリコン層114をパターニ
ングすることによって、シリコン酸化膜112A及び多
結晶シリコン層114から成る第1の半導体素子用の第
1のゲート電極、並びに、シリコン酸化膜112B及び
多結晶シリコン層114から成る第2の半導体素子用の
第2のゲート電極を作製することができる(図18の
(B)参照)。その後、周知の方法によって、第1のゲ
ート電極及び第2のゲート電極をそれぞれ構成要素とす
る第1のトランジスタ素子及び第2のトランジスタ素子
を作製する。
After that, each of the silicon oxide films 112A, 112
For example, a polycrystalline silicon layer 114 is formed on the 2B by a CVD method, and the polycrystalline silicon layer 114 is patterned to form a first semiconductor element for the first semiconductor element composed of the silicon oxide film 112A and the polycrystalline silicon layer 114. A first gate electrode and a second gate electrode for a second semiconductor element including the silicon oxide film 112B and the polycrystalline silicon layer 114 can be manufactured (see FIG. 18B). After that, a first transistor element and a second transistor element each including the first gate electrode and the second gate electrode as components are manufactured by a known method.

【0006】このような従来の半導体装置におけるゲー
ト電極の作製方法においては、図17の(B)に示した
ように、第1のシリコン酸化膜112A上にレジスト1
13を形成する必要がある。通常、レジスト113は桂
皮酸系レジスト材料あるいはゴム系レジスト材料から構
成されているが、これらのレジスト材料にはアルカリ金
属や重金属が多く混在しており、第1のシリコン酸化膜
112A上にレジスト113を直接形成すると、第1の
シリコン酸化膜112Aに汚染が発生する。その結果、
シリコン酸化膜112Aの初期耐圧劣化や耐圧の長期信
頼性劣化等の問題が生じる。
In such a conventional method of manufacturing a gate electrode in a semiconductor device, as shown in FIG. 17B, a resist 1 is formed on a first silicon oxide film 112A.
13 must be formed. Usually, the resist 113 is made of a cinnamic acid-based resist material or a rubber-based resist material. However, these resist materials contain a large amount of alkali metals and heavy metals, and the resist 113 is formed on the first silicon oxide film 112A. Is directly formed, contamination occurs in the first silicon oxide film 112A. as a result,
Problems such as deterioration of the initial breakdown voltage of the silicon oxide film 112A and long-term reliability of the breakdown voltage occur.

【0007】[0007]

【発明が解決しようとする課題】このような問題を解決
するための技術が、例えば、特開平4−103162号
公報に開示されている。この技術によれば、例えばLO
COS構造を有する素子分離領域111が形成されたシ
リコン半導体基板110の素子形成領域の表面に(図1
9の(A)参照)、熱酸化法にて第1のシリコン酸化膜
112Aを形成する(図19の(B)参照)。次いで、
全面に第1の多結晶シリコン層120を形成した後(図
19の(C)参照)、この第1の多結晶シリコン層12
0上にパターニングされたレジスト121を形成する。
そして、レジスト121をエッチング用マスクとして、
第1の多結晶シリコン層120及び第1のシリコン酸化
膜112Aを選択的に除去し、シリコン半導体基板11
0の一部分を露出させた後、レジスト121を除去する
(図19の(D)及び図20の(A)参照)。その後、
図20の(B)に示すように、露出したシリコン半導体
基板110の表面に熱酸化法によって第2のシリコン酸
化膜112Bを形成する。この方法によれば、第1のシ
リコン酸化膜112A上にレジスト121を直接形成す
ることがないので、第1のシリコン酸化膜112Aへの
レジスト121に起因した汚染は発生しない。
A technique for solving such a problem is disclosed, for example, in Japanese Patent Laid-Open No. 4-103162. According to this technology, for example, LO
On the surface of the element formation region of the silicon semiconductor substrate 110 where the element isolation region 111 having the COS structure is formed (FIG.
9A), a first silicon oxide film 112A is formed by a thermal oxidation method (see FIG. 19B). Then
After forming a first polycrystalline silicon layer 120 on the entire surface (see FIG. 19C), the first polycrystalline silicon layer 12 is formed.
Then, a patterned resist 121 is formed on the resist pattern 0.
Then, using the resist 121 as an etching mask,
The first polycrystalline silicon layer 120 and the first silicon oxide film 112A are selectively removed, and the silicon semiconductor substrate 11 is removed.
After exposing a part of 0, the resist 121 is removed (see FIG. 19D and FIG. 20A). afterwards,
As shown in FIG. 20B, a second silicon oxide film 112B is formed on the exposed surface of the silicon semiconductor substrate 110 by a thermal oxidation method. According to this method, the resist 121 is not directly formed on the first silicon oxide film 112A, so that contamination of the first silicon oxide film 112A due to the resist 121 does not occur.

【0008】しかしながら、この方法においては、熱酸
化法によって露出したシリコン半導体基板110の表面
に第2のシリコン酸化膜112Bを形成したとき、第1
の多結晶シリコン層120の表面にもシリコン酸化膜1
12Cが形成されてしまう(図20の(B)参照)。そ
して、このシリコン酸化膜112C上に第2の多結晶シ
リコン層122が形成されるので(図20の(C)参
照)、第1の多結晶シリコン層120の頂面に形成され
たシリコン酸化膜112C及びその上に形成された第2
の多結晶シリコン層122の部分を選択的に除去しなけ
ればならない。それ故、この特許公開公報に開示された
方法においては、半導体装置におけるゲート電極の作製
工程数の増加が問題である。
However, in this method, when the second silicon oxide film 112B is formed on the surface of the silicon semiconductor substrate 110 exposed by the thermal oxidation method,
Silicon oxide film 1 also on the surface of polycrystalline silicon layer 120 of FIG.
12C is formed (see FIG. 20B). Then, since second polysilicon layer 122 is formed on silicon oxide film 112C (see FIG. 20C), the silicon oxide film formed on the top surface of first polysilicon layer 120 is formed. 112C and the second formed thereon
Of the polysilicon layer 122 must be selectively removed. Therefore, in the method disclosed in this patent publication, there is a problem in that the number of manufacturing steps of the gate electrode in the semiconductor device is increased.

【0009】従って、本発明の目的は、第1の半導体素
子と、この第1の半導体素子のゲート電極を構成するシ
リコン酸化膜の厚さとは異なる厚さを有するシリコン酸
化膜から構成されたゲート電極を備えた第2の半導体素
子とから成る半導体装置におけるゲート電極を、作製工
程を左程増加させることなく作製することを可能にし、
しかも、初期耐圧性や耐圧の長期信頼性に優れたシリコ
ン酸化膜を形成し得る方法を提供することにある。
Accordingly, an object of the present invention is to provide a gate comprising a first semiconductor element and a silicon oxide film having a thickness different from that of a silicon oxide film constituting a gate electrode of the first semiconductor element. A gate electrode in a semiconductor device including a second semiconductor element provided with an electrode can be manufactured without increasing the number of manufacturing steps to the left;
Moreover, it is an object of the present invention to provide a method capable of forming a silicon oxide film having excellent initial withstand voltage and long-term reliability of withstand voltage.

【0010】[0010]

【課題を解決するための手段】上記の目的を達成するた
めの本発明の半導体装置におけるゲート電極の作製方法
は、第1の半導体素子と、該第1の半導体素子のゲート
電極を構成するシリコン酸化膜の厚さとは異なる厚さを
有するシリコン酸化膜から構成されたゲート電極を備え
た第2の半導体素子とから成る半導体装置における各ゲ
ート電極の作製方法であって、(イ)シリコン層の表面
にシリコン酸化膜を形成する工程と、(ロ)該シリコン
酸化膜上に第1の導電層を形成する工程と、(ハ)該第
1の導電層を選択的に除去してシリコン酸化膜の一部を
露出させる工程と、(ニ)該露出したシリコン酸化膜の
厚さを薄くする工程と、(ホ)該薄膜化されたシリコン
酸化膜に熱処理を施す工程と、(ヘ)全面に第2の導電
層を形成した後、第2の導電層及び第1の導電層をパタ
ーニングする工程、から成り、以て、シリコン酸化膜、
第1の導電層及び第2の導電層から構成された第1の半
導体素子のためのゲート電極、並びに、薄膜化されたシ
リコン酸化膜及び第2の導電層から構成された第2の半
導体素子のためのゲート電極を作製することを特徴とす
る。
In order to achieve the above object, a method for manufacturing a gate electrode in a semiconductor device according to the present invention comprises a first semiconductor element and a silicon layer forming the gate electrode of the first semiconductor element. A method of manufacturing each gate electrode in a semiconductor device comprising: a second semiconductor element having a gate electrode formed of a silicon oxide film having a thickness different from the thickness of the oxide film; Forming a silicon oxide film on the surface; (b) forming a first conductive layer on the silicon oxide film; and (c) selectively removing the first conductive layer to form a silicon oxide film. (D) reducing the thickness of the exposed silicon oxide film, (e) subjecting the thinned silicon oxide film to a heat treatment, and (f) covering the entire surface. After forming the second conductive layer, Patterning the second conductive layer and the first conductive layer, made of, than Te, silicon oxide film,
A gate electrode for a first semiconductor device composed of a first conductive layer and a second conductive layer, and a second semiconductor device composed of a thinned silicon oxide film and a second conductive layer For producing a gate electrode.

【0011】本発明の半導体装置におけるゲート電極の
作製方法においては、前記工程(ホ)における熱処理
を、ハロゲン元素を含有する不活性ガス雰囲気中で行う
ことが好ましい。ハロゲン元素を含有する不活性ガス雰
囲気中でシリコン酸化膜を熱処理することによって、薄
膜化されたシリコン酸化膜に生じた汚染の除去や、欠陥
の除去、修復を行うことができる。あるいは又、必要に
応じて、工程(イ)にてシリコン層の表面にシリコン酸
化膜を形成した後、該シリコン酸化膜上に第1の導電層
を形成する前に、形成されたシリコン酸化膜に対して熱
処理(以下、かかる熱処理を予備熱処理と呼ぶ場合があ
る)を行うこともできる。尚、熱処理及び予備熱処理
を、以下、総称して熱処理等と呼ぶ場合がある。予備熱
処理を行う場合、予備熱処理の雰囲気も、ハロゲン元素
を含有する不活性ガス雰囲気とすることが望ましい。ハ
ロゲン元素を含有する不活性ガス雰囲気中でシリコン酸
化膜に対して熱処理等を行うことによって、シリコン酸
化膜中に生じ得る欠陥であるシリコンダングリングボン
ド(Si・)やSi−OHがハロゲン元素と反応し、シ
リコンダングリングボンドが終端しあるいは脱水反応を
生じる結果、信頼性劣化因子であるこれらの欠陥が排除
され、タイムゼロ絶縁破壊(TZDB)特性及び経時絶
縁破壊(TDDB)特性に優れたシリコン酸化膜を得る
ことができる。ハロゲン元素として、塩素、臭素、フッ
素を挙げることができるが、なかでも塩素であることが
望ましい。不活性ガス中に含有されるハロゲン元素の形
態としては、例えば、塩化水素(HCl)、CCl4
2HCl3、Cl2、HBr、NF3を挙げることができ
る。不活性ガス中のハロゲン元素の含有率は、分子又は
化合物の形態を基準として、0.001〜10容量%、
好ましくは0.005〜10容量%、更に好ましくは
0.02〜10容量%である。例えば塩化水素を用いる
場合、不活性ガス中の塩化水素含有率は0.02〜10
容量%であることが望ましい。尚、これらの熱処理等の
温度は、700〜1200゜C、好ましくは700〜1
000゜C、更に好ましくは700〜950゜Cであ
る。また、熱処理等の時間は、炉アニール方式によるバ
ッチ式の場合、5〜60分、好ましくは10〜40分、
更に好ましくは20〜30分である。一方、枚葉式の急
速高温アニール方式の場合、1〜10分とすることが好
ましい。熱処理等における不活性ガスとしては、窒素ガ
ス、アルゴンガス、ヘリウムガスを例示することができ
る。
In the method of manufacturing a gate electrode in a semiconductor device according to the present invention, the heat treatment in the step (e) is preferably performed in an atmosphere of an inert gas containing a halogen element. By heat-treating the silicon oxide film in an inert gas atmosphere containing a halogen element, it is possible to remove contamination generated in the thinned silicon oxide film and to remove and repair defects. Alternatively, if necessary, after forming a silicon oxide film on the surface of the silicon layer in step (a), and before forming the first conductive layer on the silicon oxide film, (Hereinafter, such a heat treatment may be referred to as a preliminary heat treatment). Note that the heat treatment and the preliminary heat treatment may be hereinafter collectively referred to as a heat treatment or the like. When performing the preliminary heat treatment, it is desirable that the atmosphere of the preliminary heat treatment be an inert gas atmosphere containing a halogen element. By performing a heat treatment or the like on the silicon oxide film in an atmosphere of an inert gas containing a halogen element, silicon dangling bonds (Si.) And Si-OH, which are defects that may be generated in the silicon oxide film, are combined with the halogen element. As a result, silicon dangling bonds are terminated or a dehydration reaction occurs. As a result, these defects which are reliability deterioration factors are eliminated, and silicon excellent in time zero dielectric breakdown (TZDB) characteristics and time-dependent dielectric breakdown (TDDB) characteristics is obtained. An oxide film can be obtained. Examples of the halogen element include chlorine, bromine, and fluorine, and among them, chlorine is preferable. Examples of the form of the halogen element contained in the inert gas include hydrogen chloride (HCl), CCl 4 ,
It can be exemplified C 2 HCl 3, Cl 2, HBr, NF 3. The content of the halogen element in the inert gas is 0.001 to 10% by volume, based on the form of the molecule or compound,
Preferably it is 0.005 to 10% by volume, more preferably 0.02 to 10% by volume. For example, when using hydrogen chloride, the content of hydrogen chloride in the inert gas is 0.02 to 10
Desirably, it is volume%. The temperature of these heat treatments is 700-1200 ° C., preferably 700-1200 ° C.
000 ° C, more preferably 700-950 ° C. In addition, the time of the heat treatment and the like is 5 to 60 minutes, preferably 10 to 40 minutes in the case of a batch method using a furnace annealing method.
More preferably, it is 20 to 30 minutes. On the other hand, in the case of a single-wafer type rapid high-temperature annealing method, it is preferable to set the time to 1 to 10 minutes. Examples of the inert gas in the heat treatment and the like include a nitrogen gas, an argon gas, and a helium gas.

【0012】熱処理等を、ハロゲン元素を含有する不活
性ガス雰囲気を大気圧よりも減圧した状態で行ってもよ
い。熱処理等における圧力は、1.3×104Pa(1
00Torr)以下であることが好ましい。圧力の下限
は、シリコン酸化膜に対して熱処理等を施す装置に依存
するが、出来る限り低いことが望ましい。
The heat treatment or the like may be performed in a state in which the atmosphere of an inert gas containing a halogen element is reduced in pressure from the atmospheric pressure. The pressure in the heat treatment or the like is 1.3 × 10 4 Pa (1
00 Torr) or less. The lower limit of the pressure depends on an apparatus for performing a heat treatment or the like on the silicon oxide film, but is preferably as low as possible.

【0013】尚、前記工程(ホ)における熱処理後、シ
リコン酸化膜を窒化処理してもよい。この場合、窒化処
理を、N2Oガス、NOガス、NO2ガス雰囲気中で行う
ことが望ましいが、中でもN2Oガス雰囲気中で行うこ
とが望ましい。あるいは又、窒化処理をNH3ガス、N2
4、ヒドラジン誘導体雰囲気中で行い、その後、N2
ガス、O2雰囲気中でアニール処理を行うことが望まし
い。窒化処理を700乃至1200゜C、好ましくは8
00乃至1150゜C、更に好ましくは900乃至11
00゜Cの温度で行うことが望ましく、この場合、シリ
コン層の加熱を赤外線照射、炉アニール処理によって行
うことが好ましい。
After the heat treatment in the step (e), the silicon oxide film may be nitrided. In this case, the nitriding treatment is preferably performed in an N 2 O gas, NO gas, or NO 2 gas atmosphere, and particularly preferably in an N 2 O gas atmosphere. Alternatively, the nitriding treatment is performed using NH 3 gas, N 2
H 4 , hydrazine derivative atmosphere, then N 2 O
It is desirable to perform the annealing treatment in a gas or O 2 atmosphere. 700-1200 ° C., preferably 8
00 to 1150 ° C, more preferably 900 to 11
Preferably, the heating is performed at a temperature of 00 ° C. In this case, the heating of the silicon layer is preferably performed by infrared irradiation and furnace annealing.

【0014】あるいは又、前記工程(ホ)における熱処
理の雰囲気を、窒素系ガス雰囲気としてもよい。ここで
窒素系ガスとして、N2、NH3、N2O、NO2を例示す
ることができる。
Alternatively, the atmosphere for the heat treatment in the step (e) may be a nitrogen-based gas atmosphere. Here, N 2 , NH 3 , N 2 O, and NO 2 can be exemplified as the nitrogen-based gas.

【0015】本発明の半導体装置におけるゲート電極の
作製方法においては、工程(イ)におけるシリコン層表
面へのシリコン酸化膜の形成を、(A)シリコン層の表
面からシリコン原子が脱離しない温度に雰囲気を保持し
た状態にて、湿式ガスを用いた酸化法によって該シリコ
ン層の表面にシリコン酸化膜を形成する第1のシリコン
酸化膜形成工程と、(B)該第1のシリコン酸化膜形成
工程における雰囲気温度よりも高い雰囲気にて、湿式ガ
スを用いた酸化法によって、更にシリコン酸化膜を形成
する第2のシリコン酸化膜形成工程、から構成すること
ができる。
In the method for fabricating a gate electrode in a semiconductor device according to the present invention, the formation of the silicon oxide film on the surface of the silicon layer in the step (a) is performed at (A) a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A first silicon oxide film forming step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using a wet gas while maintaining the atmosphere; and (B) a first silicon oxide film forming step A second silicon oxide film forming step of further forming a silicon oxide film by an oxidation method using a wet gas in an atmosphere higher than the ambient temperature in.

【0016】通常、シリコン層にシリコン酸化膜を形成
する前に、NH4OH/H22水溶液で洗浄し更にHC
l/H22水溶液で洗浄するというRCA洗浄によりシ
リコン層の表面を洗浄し、その表面から微粒子や金属不
純物を除去した後、フッ化水素酸水溶液にシリコン層を
浸漬する。ところで、フッ化水素酸水溶液で表面を露出
させたシリコン半導体基板を高温の湿式ガス等の雰囲気
中あるいは窒素ガス雰囲気中に搬入すると、シリコン層
の表面に荒れが生じる場合がある。この現象は、フッ化
水素酸水溶液での洗浄によってシリコン層の表面に形成
されたSi−H結合や一部のSi−F結合が、水素やフ
ッ素の昇温脱離によって失われ、シリコン層の表面にエ
ッチング現象が生じることに起因する。アルゴンガス中
でシリコン半導体基板を600゜C以上に昇温するとシ
リコン半導体基板の表面に激しい凹凸が生じることが、
培風館発行、大見忠弘著「ウルトラクリーンULSI技
術」、第21頁に記載されている。シリコン層の表面か
らシリコン原子が脱離しない温度に雰囲気を保持した状
態にて第1のシリコン酸化膜形成工程を実行することに
よって、シリコン層の表面にこのような荒れが生じるこ
とを抑制することができる。
Usually, before forming a silicon oxide film on a silicon layer, the silicon layer is washed with an aqueous solution of NH 4 OH / H 2 O 2 and further washed with HC
The surface of the silicon layer is cleaned by RCA cleaning in which the silicon layer is cleaned with a 1 / H 2 O 2 aqueous solution, fine particles and metal impurities are removed from the surface, and the silicon layer is immersed in a hydrofluoric acid aqueous solution. By the way, when a silicon semiconductor substrate whose surface is exposed with an aqueous solution of hydrofluoric acid is carried into a high-temperature wet gas atmosphere or a nitrogen gas atmosphere, the surface of the silicon layer may be roughened. This phenomenon is caused by the fact that Si-H bonds and some Si-F bonds formed on the surface of the silicon layer by washing with a hydrofluoric acid aqueous solution are lost due to thermal desorption of hydrogen or fluorine, and the silicon layer This is due to an etching phenomenon occurring on the surface. When the temperature of the silicon semiconductor substrate is raised to 600 ° C. or more in argon gas, severe irregularities may occur on the surface of the silicon semiconductor substrate.
It is described in page 21 of "Ultra Clean ULSI Technology" by Tadahiro Omi, published by Baifukan. By performing the first silicon oxide film forming step while maintaining the atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer, it is possible to suppress the occurrence of such roughness on the surface of the silicon layer. Can be.

【0017】かかるシリコン酸化膜の形成工程における
シリコン層の表面からシリコン原子が脱離しない温度
は、シリコン層表面を終端している原子とシリコン原子
との結合が切断されない温度であることが望ましい。こ
の場合、シリコン層の表面からシリコン原子が脱離しな
い温度は、Si−H結合が切断されない温度若しくはS
i−F結合が切断されない温度であることが好ましい。
尚、シリコン層の表面からシリコン原子が脱離しない温
度は、1.013×105Pa(1気圧)にて測定した
値であり、湿式ガスがシリコン層上で結露しない温度以
上、好ましくは、300゜C以上とすることが望まし
い。
The temperature at which silicon atoms do not desorb from the surface of the silicon layer in the step of forming the silicon oxide film is preferably a temperature at which the bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. In this case, the temperature at which silicon atoms do not desorb from the surface of the silicon layer is the temperature at which Si—H bonds are not broken or S
It is preferable that the temperature be such that the i-F bond is not broken.
The temperature at which silicon atoms do not desorb from the surface of the silicon layer is a value measured at 1.013 × 10 5 Pa (1 atm), and is equal to or higher than the temperature at which the wet gas does not condense on the silicon layer, preferably It is desirable that the temperature be 300 ° C. or higher.

【0018】工程(A)及び/又は工程(B)における
湿式ガスを用いた酸化法は、パイロジェニック酸化法、
純水の加熱により発生した水蒸気による酸化法、並び
に、酸素ガス又は不活性ガスによって加熱純水をバブリ
ングすることで発生した水蒸気による酸化法の内の少な
くとも1種の酸化法であることが好ましい。湿式ガスを
用いた酸化法によってシリコン酸化膜を形成すれば、優
れた経時絶縁破壊(TDDB)特性を有するシリコン酸
化膜を得ることができる。尚、湿式ガスを用いた酸化法
において、湿式ガスを不活性ガスで希釈してもよい。
The oxidation method using a wet gas in the step (A) and / or the step (B) includes a pyrogenic oxidation method,
It is preferable to use at least one oxidation method among the oxidation method using steam generated by heating pure water and the oxidation method using steam generated by bubbling heated pure water with an oxygen gas or an inert gas. When a silicon oxide film is formed by an oxidation method using a wet gas, a silicon oxide film having excellent time-dependent dielectric breakdown (TDDB) characteristics can be obtained. In the oxidation method using a wet gas, the wet gas may be diluted with an inert gas.

【0019】工程(A)及び/又は工程(B)における
湿式ガスにはハロゲン元素が含有されていてもよい。こ
れによって、タイムゼロ絶縁破壊(TZDB)特性及び
経時絶縁破壊(TDDB)特性に優れたシリコン酸化膜
を得ることができる。尚、ハロゲン元素として、塩素、
臭素、フッ素を挙げることができるが、なかでも塩素で
あることが望ましい。湿式ガス中に含有されるハロゲン
元素の形態としては、例えば、塩化水素(HCl)、C
Cl4、C2HCl3、Cl2、HBr、NF3を挙げるこ
とができる。湿式ガス中のハロゲン元素の含有率は、分
子又は化合物の形態を基準として、0.001〜10容
量%、好ましくは0.005〜10容量%、更に好まし
くは0.02〜10容量%である。例えば塩化水素を用
いる場合、湿式ガス中の塩化水素含有率は0.02〜1
0容量%であることが望ましい。
The wet gas in the step (A) and / or the step (B) may contain a halogen element. As a result, a silicon oxide film having excellent time zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. In addition, chlorine, as a halogen element,
Bromine and fluorine can be mentioned, and among them, chlorine is desirable. Examples of the form of the halogen element contained in the wet gas include hydrogen chloride (HCl), C
Cl 4 , C 2 HCl 3 , Cl 2 , HBr and NF 3 can be mentioned. The content of the halogen element in the wet gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10% by volume, based on the form of the molecule or the compound. . For example, when using hydrogen chloride, the hydrogen chloride content in the wet gas is 0.02 to 1
Desirably, it is 0% by volume.

【0020】工程(B)を経た後のシリコン酸化膜の膜
厚は、半導体装置に要求される所定の厚さとすればよ
い。一方、工程(A)を経た後のシリコン酸化膜の膜厚
は、出来る限る薄いことが好ましい。但し、現在、半導
体装置の作製に用いられているシリコン半導体基板の面
方位は殆どの場合(100)であり、如何にシリコン半
導体基板の表面を平滑化しても(100)シリコンの表
面には必ずステップと呼ばれる段差が形成される。この
ステップは通常シリコン原子1層分であるが、場合によ
っては2〜3層分の段差が形成されることがある。従っ
て、工程(A)を経た後のシリコン酸化膜の膜厚は、シ
リコン層として(100)シリコン半導体基板を用いる
場合、1nm以上とすることが好ましい。
The thickness of the silicon oxide film after the step (B) may be a predetermined thickness required for a semiconductor device. On the other hand, the thickness of the silicon oxide film after the step (A) is preferably as thin as possible. However, the plane orientation of a silicon semiconductor substrate currently used for manufacturing a semiconductor device is almost always (100), and no matter how smooth the surface of the silicon semiconductor substrate is, the surface of the silicon semiconductor substrate must be (100). A step called a step is formed. This step is usually for one layer of silicon atoms, but in some cases, a step for two to three layers may be formed. Therefore, the thickness of the silicon oxide film after the step (A) is preferably 1 nm or more when a (100) silicon semiconductor substrate is used as the silicon layer.

【0021】工程(A)における雰囲気温度から、一
旦、シリコン酸化膜を形成する雰囲気温度を例えば室温
まで低下させ、次いで、工程(B)における雰囲気温度
まで昇温してもよいが、工程(A)における雰囲気温度
から工程(B)における雰囲気温度まで雰囲気温度を昇
温する昇温工程を含み、該昇温工程における雰囲気を減
圧雰囲気、不活性ガス雰囲気又は湿式ガスを含む酸化雰
囲気とすることが好ましい。ここで、不活性ガスとし
て、窒素ガス、アルゴンガス、ヘリウムガスを例示する
ことができる。尚、昇温工程における雰囲気中の不活性
ガス若しくは湿式ガスには、ハロゲン元素が含有されて
いてもよい。これによって、工程(A)にて形成された
シリコン酸化膜の特性の一層の向上を図ることができ
る。即ち、工程(A)において生じ得る欠陥であるシリ
コンダングリングボンド(Si・)やSi−OHが昇温
工程においてハロゲン元素と反応し、シリコンダングリ
ングボンドが終端しあるいは脱水反応を生じる結果、信
頼性劣化因子であるこれらの欠陥が排除される。特に、
これらの欠陥の排除は、工程(A)において形成された
初期のシリコン酸化膜に対して効果的である。尚、ハロ
ゲン元素として、塩素、臭素、フッ素を挙げることがで
きるが、なかでも塩素であることが望ましい。不活性ガ
ス若しくは湿式ガス中に含有されるハロゲン元素の形態
としては、例えば、塩化水素(HCl)、CCl4、C2
HCl3、Cl2、HBr、NF3を挙げることができ
る。不活性ガス若しくは湿式ガス中のハロゲン元素の含
有率は、分子又は化合物の形態を基準として、0.00
1〜10容量%、好ましくは0.005〜10容量%、
更に好ましくは0.02〜10容量%である。例えば塩
化水素を用いる場合、不活性ガス若しくは湿式ガス中の
塩化水素含有率は0.02〜10容量%であることが望
ましい。
From the ambient temperature in step (A), the ambient temperature for forming the silicon oxide film may be temporarily lowered to, for example, room temperature, and then raised to the ambient temperature in step (B). And the temperature in the step (B) is increased from the ambient temperature in step (B) to the ambient temperature in step (B), and the atmosphere in the temperature raising step may be a reduced-pressure atmosphere, an inert gas atmosphere, or an oxidizing atmosphere containing a wet gas. preferable. Here, examples of the inert gas include a nitrogen gas, an argon gas, and a helium gas. Note that the inert gas or the wet gas in the atmosphere in the temperature raising step may contain a halogen element. Thereby, the characteristics of the silicon oxide film formed in the step (A) can be further improved. That is, a silicon dangling bond (Si.) Or Si—OH, which is a defect that can be generated in the step (A), reacts with a halogen element in the temperature raising step, and the silicon dangling bond terminates or a dehydration reaction occurs. These defects, which are the factors that deteriorate the properties, are eliminated. Especially,
Elimination of these defects is effective for the initial silicon oxide film formed in the step (A). In addition, as the halogen element, chlorine, bromine and fluorine can be mentioned, and among them, chlorine is preferable. As the form of the halogen element contained in the inert gas or the wet gas, for example, hydrogen chloride (HCl), CCl 4 , C 2
HCl 3 , Cl 2 , HBr and NF 3 can be mentioned. The content of the halogen element in the inert gas or wet gas is 0.00% based on the form of the molecule or compound.
1 to 10% by volume, preferably 0.005 to 10% by volume,
More preferably, the content is 0.02 to 10% by volume. For example, when hydrogen chloride is used, the content of hydrogen chloride in the inert gas or wet gas is preferably 0.02 to 10% by volume.

【0022】工程(B)に引き続き予備熱処理を行う場
合、形成されたシリコン酸化膜に予備熱処理を施す際の
雰囲気温度を、工程(B)においてシリコン酸化膜を形
成する際の雰囲気温度よりも高くする形態とすることが
できる。この場合、工程(B)におけるシリコン酸化膜
の形成完了後、雰囲気を不活性ガス雰囲気に切り替え、
次いで、予備熱処理を施すための雰囲気温度まで昇温し
てもよいが、雰囲気をハロゲン元素を含有する不活性ガ
ス雰囲気に切り替えた後、予備熱処理を施すための雰囲
気温度まで昇温することが好ましい。また、工程(ホ)
において薄膜化されたシリコン酸化膜に熱処理を施すと
き、かかる熱処理温度まで昇温する雰囲気を不活性ガス
雰囲気としてもよいが、雰囲気をハロゲン元素を含有す
る不活性ガス雰囲気とすることが好ましい。ここで、不
活性ガス中に含有されるハロゲン元素の形態としては、
例えば、塩化水素(HCl)、CCl4、C2HCl3
Cl2、HBr、NF3を挙げることができる。不活性ガ
ス中のハロゲン元素の含有率は、分子又は化合物の形態
を基準として、0.001〜10容量%、好ましくは
0.005〜10容量%、更に好ましくは0.02〜1
0容量%である。例えば塩化水素を用いる場合、不活性
ガス中の塩化水素含有率は0.02〜10容量%である
ことが望ましい。
When the preliminary heat treatment is performed subsequent to the step (B), the ambient temperature at the time of performing the preliminary heat treatment on the formed silicon oxide film is higher than the ambient temperature at the time of forming the silicon oxide film in the step (B). It can be taken as a form. In this case, after the formation of the silicon oxide film in the step (B) is completed, the atmosphere is switched to an inert gas atmosphere,
Next, the temperature may be raised to the ambient temperature for performing the preliminary heat treatment, but it is preferable to switch the atmosphere to an inert gas atmosphere containing a halogen element and then raise the temperature to the ambient temperature for performing the preliminary heat treatment. . Also, the process (e)
When the heat treatment is performed on the silicon oxide film thinned in the above, the atmosphere for raising the temperature to the heat treatment temperature may be an inert gas atmosphere, but the atmosphere is preferably an inert gas atmosphere containing a halogen element. Here, as the form of the halogen element contained in the inert gas,
For example, hydrogen chloride (HCl), CCl 4 , C 2 HCl 3 ,
Cl 2 , HBr and NF 3 can be mentioned. The content of the halogen element in the inert gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 1% by volume, based on the form of the molecule or the compound.
0% by volume. For example, when using hydrogen chloride, the content of hydrogen chloride in the inert gas is preferably 0.02 to 10% by volume.

【0023】尚、工程(A)において、シリコン酸化膜
を形成する前の、シリコン層の表面からシリコン原子が
脱離しない温度に保持された雰囲気は、湿式ガスに基づ
くシリコン酸化膜の形成前にシリコン酸化膜が形成され
ることを抑制するために、不活性ガス雰囲気あるいは減
圧雰囲気であることが望ましい。
In the step (A), before forming the silicon oxide film, the atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer is formed before the formation of the silicon oxide film based on the wet gas. In order to suppress the formation of the silicon oxide film, it is desirable to use an inert gas atmosphere or a reduced pressure atmosphere.

【0024】工程(A)及び/又は工程(B)において
湿式ガスを用いる代わりに、水蒸気を含有しない酸化性
ガスを用いることもできる。水蒸気を含有しない酸化性
ガスとして、酸素ガス、又は塩酸を含有する酸素ガスを
挙げることができる。尚、酸化性ガスを用いた酸化法に
おいて、酸化性ガスを不活性ガスで希釈してもよい。こ
の場合、第1のシリコン酸化膜形成工程において、シリ
コン酸化膜を形成する前の、シリコン層の表面からシリ
コン原子が脱離しない温度に保持された雰囲気は、酸化
性ガスに基づくシリコン酸化膜の形成前にシリコン酸化
膜が形成されることを抑制するために、不活性ガス雰囲
気あるいは減圧雰囲気であることが望ましい。
Instead of using a wet gas in step (A) and / or step (B), an oxidizing gas containing no water vapor can be used. Examples of the oxidizing gas containing no water vapor include oxygen gas and oxygen gas containing hydrochloric acid. In the oxidation method using an oxidizing gas, the oxidizing gas may be diluted with an inert gas. In this case, in the first silicon oxide film forming step, before forming the silicon oxide film, the atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer is a silicon oxide film based on an oxidizing gas. In order to suppress the formation of the silicon oxide film before the formation, it is desirable to use an inert gas atmosphere or a reduced pressure atmosphere.

【0025】工程(A)及び工程(B)におけるシリコ
ン酸化膜の形成方法を、同じ酸化法としてもよいし、異
なる酸化法とすることもできる。また、工程(A)及び
工程(B)におけるシリコン酸化膜の形成を同一のシリ
コン酸化膜成膜装置にて実行してもよいし、異なるシリ
コン酸化膜成膜装置にて実行してもよい。シリコン酸化
膜成膜装置としては、バッチ式、枚葉式のいずれであっ
てもよい。また、予備熱処理を行う場合、工程(B)の
第2のシリコン酸化膜形成工程を実行したシリコン酸化
膜成膜装置内、あるいは又、別のバッチ式や枚葉式のア
ニール装置を使用して予備熱処理を行うことができる。
以下の表1に、第1のシリコン酸化膜形成工程(表1中
では第1の酸化工程と表記する)及び第2のシリコン酸
化膜形成工程(表1中では第2の酸化工程と表記す
る)、並びに予備熱処理工程における処理方式の好まし
い例を示す。尚、第1のシリコン酸化膜形成工程、第2
のシリコン酸化膜形成工程、予備熱処理工程において、
異なる装置を用いる場合、これらの装置間をシリコン層
を搬送する際、形成されたシリコン酸化膜の表面の汚染
発生を防止する観点から、シリコン酸化膜を大気に曝す
ことなく搬送することが好ましい。具体的には、シリコ
ン層の搬送中の雰囲気を、不活性ガス雰囲気若しくは減
圧雰囲気とすることが好ましい。ここで、不活性ガスと
して、窒素ガス、アルゴンガス、ヘリウムガスを例示す
ることができる。この場合、シリコン層を搬送するとき
の雰囲気温度を、例えば室温としてもよいが、スループ
ットの向上の観点から、シリコン層にシリコン酸化膜を
形成するときの第1のシリコン酸化膜形成工程における
雰囲気温度と略等しくすることもできる。
The method of forming the silicon oxide film in the steps (A) and (B) may be the same oxidation method or different oxidation methods. Further, the formation of the silicon oxide film in the steps (A) and (B) may be performed by the same silicon oxide film forming apparatus, or may be performed by different silicon oxide film forming apparatuses. The silicon oxide film forming apparatus may be either a batch type or a single wafer type. In the case of performing the preliminary heat treatment, the inside of the silicon oxide film forming apparatus in which the second silicon oxide film forming step of the step (B) is performed, or another batch type or single wafer type annealing apparatus is used. A preliminary heat treatment can be performed.
In Table 1 below, a first silicon oxide film forming step (denoted as a first oxidation step in Table 1) and a second silicon oxide film forming step (denoted as a second oxidation step in Table 1) ), And preferred examples of the treatment method in the preliminary heat treatment step. The first silicon oxide film forming step and the second
In the silicon oxide film forming step and the preliminary heat treatment step,
When a different device is used, it is preferable to transfer the silicon oxide film without exposing the silicon oxide film to the air from the viewpoint of preventing contamination of the surface of the formed silicon oxide film when transferring the silicon layer between the devices. Specifically, the atmosphere during the transfer of the silicon layer is preferably an inert gas atmosphere or a reduced-pressure atmosphere. Here, examples of the inert gas include a nitrogen gas, an argon gas, and a helium gas. In this case, the ambient temperature at the time of transporting the silicon layer may be, for example, room temperature, but from the viewpoint of improving the throughput, the ambient temperature in the first silicon oxide film forming step when the silicon oxide film is formed on the silicon layer Can also be made approximately equal.

【0026】[0026]

【表1】 第1の酸化工程 第2の酸化工程 予備熱処理工程 バッチ式 バッチ式 バッチ式 バッチ式 バッチ式 枚葉式 バッチ式 枚葉式 バッチ式 バッチ式 枚葉式 枚葉式 枚葉式 バッチ式 バッチ式 枚葉式 バッチ式 枚葉式 枚葉式 枚葉式 バッチ式 枚葉式 枚葉式 枚葉式[Table 1] First oxidation step Second oxidation step Preliminary heat treatment step Batch type Batch type Batch type Batch type Batch type Single wafer type Batch type Single wafer type Batch type Batch type Single wafer type Single wafer type Single wafer type Batch type Batch type Single-wafer type Batch type Single-wafer type Single-wafer type Single-wafer type Batch type Single-wafer type Single-wafer type Single-wafer type

【0027】通常、シリコン層にシリコン酸化膜を形成
する前に、RCA洗浄によりシリコン層の表面を洗浄し
た後、フッ化水素酸水溶液にシリコン層を浸漬する。と
ころが、その後、シリコン層が大気に曝されると、シリ
コン層の表面が汚染され、水分や有機物がシリコン層の
表面に付着し、あるいは又、シリコン層表面のSi原子
が水酸基(OH)と結合する虞がある(例えば、文献 "
Highly-reliable GateOxide Formation for Giga-Scale
LSIs by using Closed Wet Cleaning Systemand Wet O
xidation with Ultra-Dry Unloading", J. Yugami, et
al., International Rlectron Device Meeting Technic
al Digest 95, pp 855-858 参照)。このような場合、
そのままの状態で工程(イ)のシリコン酸化膜形成工程
を実行すると、形成されたシリコン酸化膜中に水分や有
機物、あるいは又、Si−OHが取り込まれ、形成され
たシリコン酸化膜の特性低下あるいは欠陥の発生の原因
となり得る。ここで、欠陥には、シリコンダングリング
ボンド(Si・)やSi−H結合といった欠陥が含まれ
るシリコン酸化膜の部分、あるいは又、Si−O−Si
結合が応力によって圧縮され若しくはSi−O−Si結
合の角度が厚い若しくはバルクのシリコン酸化膜中のS
i−O−Si結合の角度と異なるといったSi−O−S
i結合が含まれたシリコン酸化膜の部分が含まれる。そ
れ故、このような問題の発生を回避するために、本発明
の半導体装置におけるゲート電極の作製方法において
は、工程(イ)の前に、シリコン層表面を洗浄する工程
を含み、表面洗浄後のシリコン層を大気に曝すことなく
(即ち、例えば、シリコン層表面の洗浄から工程(イ)
のシリコン酸化膜形成工程の開始までの雰囲気を不活性
ガス雰囲気若しくは真空雰囲気とし)、工程(イ)を開
始することが好ましい。これによって、清浄な表面を有
するシリコン層の表面にシリコン酸化膜を形成すること
ができ、形成されたシリコン酸化膜の特性低下あるいは
欠陥の発生を防止することができる。シリコン層表面の
洗浄方法としては、フッ化水素酸水溶液にシリコン酸化
膜を浸漬する方法、無水フッ化水素ガス蒸気雰囲気、塩
化水素ガス雰囲気、又は塩素ガス雰囲気(例えば、Cl
2+O3雰囲気)にシリコン酸化膜を暴露する方法を挙げ
ることができる。
Normally, before forming a silicon oxide film on a silicon layer, the surface of the silicon layer is cleaned by RCA cleaning, and then the silicon layer is immersed in a hydrofluoric acid aqueous solution. However, when the silicon layer is subsequently exposed to the air, the surface of the silicon layer is contaminated, and moisture and organic substances adhere to the surface of the silicon layer, or Si atoms on the surface of the silicon layer combine with hydroxyl groups (OH). (E.g., literature "
Highly-reliable GateOxide Formation for Giga-Scale
LSIs by using Closed Wet Cleaning System and Wet O
xidation with Ultra-Dry Unloading ", J. Yugami, et
al., International Rlectron Device Meeting Technic
al Digest 95, pp 855-858). In such a case,
When the silicon oxide film forming step of step (a) is performed as it is, moisture, an organic substance, or Si—OH is taken into the formed silicon oxide film, and the characteristics of the formed silicon oxide film are deteriorated or It can cause defects. Here, the defect includes a portion of a silicon oxide film including a defect such as a silicon dangling bond (Si.) Or a Si-H bond, or Si-O-Si.
The bond is compressed by stress or the angle of the Si-O-Si bond is thick or S in the bulk silicon oxide film.
Si-OS that is different from the angle of the i-O-Si bond
The portion of the silicon oxide film including the i-bond is included. Therefore, in order to avoid the occurrence of such a problem, the method for manufacturing a gate electrode in a semiconductor device of the present invention includes a step of cleaning the surface of the silicon layer before the step (a). Without exposing the silicon layer to the atmosphere (ie, for example, from the cleaning of the silicon layer surface to the step (a)).
The atmosphere up to the start of the silicon oxide film forming step is an inert gas atmosphere or a vacuum atmosphere, and the step (a) is preferably started. Thus, a silicon oxide film can be formed on the surface of the silicon layer having a clean surface, and the characteristics of the formed silicon oxide film can be prevented from being deteriorated or defects can be prevented. As a method for cleaning the surface of the silicon layer, a method of dipping a silicon oxide film in a hydrofluoric acid aqueous solution, an anhydrous hydrogen fluoride gas vapor atmosphere, a hydrogen chloride gas atmosphere, or a chlorine gas atmosphere (for example, Cl gas atmosphere)
2 + O 3 atmosphere).

【0028】第1の導電層及び第2の導電層は、例え
ば、不純物がドーピングされた多結晶シリコンから構成
することができる。あるいは又、第2の導電層を、不純
物がドーピングされた多結晶シリコンと、タングステン
シリサイド等のシリサイドとの2層構成(ポリサイド構
造)とすることもできる。
The first conductive layer and the second conductive layer can be made of, for example, polycrystalline silicon doped with impurities. Alternatively, the second conductive layer may have a two-layer structure (polycide structure) of polycrystalline silicon doped with impurities and silicide such as tungsten silicide.

【0029】ここで、シリコン層とは、シリコン半導体
基板等の基板そのものだけでなく、基板の上に形成され
たエピタキシャルシリコン層、多結晶シリコン層、ある
いは非晶質シリコン層、所謂張り合わせ法やSIMOX
法に基づき作製されたSOI構造におけるシリコン層、
更には、基板やこれらの層に半導体素子や半導体素子の
構成要素が形成されたもの等、ゲート電極を構成するシ
リコン酸化膜を形成すべきシリコン層(下地)を意味す
る。シリコン半導体基板の作製方法は、CZ法、MCZ
法、DLCZ法、FZ法等、如何なる方法であってもよ
いし、また、予め高温の水素アニール処理を行い結晶欠
陥を除去したものでもよい。
Here, the silicon layer means not only a substrate itself such as a silicon semiconductor substrate, but also an epitaxial silicon layer, a polycrystalline silicon layer, or an amorphous silicon layer formed on the substrate, so-called bonding method or SIMOX.
Silicon layer in the SOI structure manufactured based on the method,
Further, it means a silicon layer (base) on which a silicon oxide film constituting a gate electrode is to be formed, such as a substrate or a semiconductor element or a component of a semiconductor element formed on these layers. The method of manufacturing the silicon semiconductor substrate is CZ method, MCZ method.
Method, a DLCZ method, an FZ method, etc., or a method in which crystal defects have been removed by performing a high-temperature hydrogen annealing treatment in advance.

【0030】本発明の半導体装置におけるゲート電極の
作製方法により、例えばMOS型トランジスタのゲート
電極やトップゲート型薄膜トランジスタのゲート電極を
作製することができる。更には、フラッシュメモリのフ
ローティングゲートも本発明におけるゲート電極に包含
される。
According to the method for manufacturing a gate electrode in a semiconductor device of the present invention, for example, a gate electrode of a MOS transistor or a gate electrode of a top gate thin film transistor can be manufactured. Further, the floating gate of the flash memory is also included in the gate electrode in the present invention.

【0031】本発明の半導体装置におけるゲート電極の
作製方法においては、シリコン酸化膜上に第1の導電層
を形成し、次いで、第1の導電層を選択的に除去してシ
リコン酸化膜の一部を露出させる。従って、シリコン酸
化膜の一部を露出させるとき、シリコン酸化膜上にレジ
ストを直接形成する必要がないので、シリコン酸化膜へ
のレジストに起因した汚染は発生しない。しかも、露出
したシリコン酸化膜の厚さを薄くした後、薄膜化された
シリコン酸化膜に熱処理を施すので、シリコン酸化膜の
薄膜化によって生じた汚染の除去や、欠陥の除去、修復
を行うことができる結果、特性の優れたシリコン酸化膜
を得ることができる。また、本質的に1回のシリコン酸
化膜の形成のみでよいため、ゲート電極の作製工程は左
程増加することがない。
In the method for manufacturing a gate electrode in a semiconductor device according to the present invention, a first conductive layer is formed on a silicon oxide film, and then the first conductive layer is selectively removed to remove the silicon oxide film. Expose the part. Therefore, when exposing a part of the silicon oxide film, there is no need to directly form a resist on the silicon oxide film, so that contamination of the silicon oxide film due to the resist does not occur. In addition, since the thickness of the exposed silicon oxide film is reduced and then the thinned silicon oxide film is subjected to a heat treatment, it is possible to remove contamination caused by the thinning of the silicon oxide film, and to remove and repair defects. As a result, a silicon oxide film having excellent characteristics can be obtained. In addition, since the formation of the silicon oxide film is essentially required only once, the number of steps for manufacturing the gate electrode does not increase as far as the left.

【0032】[0032]

【実施例】以下、図面を参照して、実施例に基づき本発
明の半導体装置におけるゲート電極の作製方法を説明す
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to the drawings, a method for manufacturing a gate electrode in a semiconductor device according to the present invention will be described below based on embodiments.

【0033】(実施例1)実施例1においては、図3に
示した縦型のシリコン酸化膜成膜装置を用いてシリコン
酸化膜を形成した。また、実施例1においては、シリコ
ン層をシリコン半導体基板から構成した。形成されたシ
リコン酸化膜はゲート酸化膜として機能する。シリコン
酸化膜の形成方法を湿式ガスを用いた酸化法、具体的に
はパイロジェニック酸化法とした。薄膜化したシリコン
酸化膜に対して、ハロゲン元素を含有する不活性ガス雰
囲気(具体的には、塩化水素を含む窒素ガス雰囲気)中
で熱処理(炉アニール処理)を施した。以下、図1〜図
5を参照して、実施例1の半導体装置におけるゲート電
極の作製方法を説明するが、その前に、縦型のシリコン
酸化膜成膜装置の概要を説明する。尚、図1及び図2に
おいて、第1の半導体素子を形成すべきシリコン半導体
基板の領域を各図の右側に示し、第2の半導体素子を形
成すべきシリコン半導体基板の領域を各図の左側に示
す。
Example 1 In Example 1, a silicon oxide film was formed using the vertical silicon oxide film forming apparatus shown in FIG. In Example 1, the silicon layer was formed from a silicon semiconductor substrate. The formed silicon oxide film functions as a gate oxide film. The silicon oxide film was formed by an oxidation method using a wet gas, specifically, a pyrogenic oxidation method. The thinned silicon oxide film was subjected to heat treatment (furnace annealing) in an inert gas atmosphere containing a halogen element (specifically, a nitrogen gas atmosphere containing hydrogen chloride). Hereinafter, a method for manufacturing a gate electrode in the semiconductor device of Example 1 will be described with reference to FIGS. 1 to 5, but before that, an outline of a vertical silicon oxide film forming apparatus will be described. 1 and 2, the region of the silicon semiconductor substrate on which the first semiconductor element is to be formed is shown on the right side of each figure, and the area of the silicon semiconductor substrate on which the second semiconductor element is to be formed is shown on the left side of each figure. Shown in

【0034】この縦型のシリコン酸化膜成膜装置は、石
英製の二重管構造の処理室10と、処理室10へ水蒸気
等を導入するためのガス導入部12と、処理室10から
ガスを排気するガス排気部13と、SiCから成る円筒
状の均熱管16を介して処理室10内を所定の雰囲気温
度に保持するためのヒータ14と、基板搬入出部20
と、基板搬入出部20へ窒素ガスを導入するためのガス
導入部21と、基板搬入出部20からガスを排気するガ
ス排気部22と、処理室10と基板搬入出部20とを仕
切るシャッター15と、シリコン半導体基板を処理室1
0内に搬入出するためのエレベータ機構23から構成さ
れている。エレベータ機構23には、シリコン半導体基
板を載置するための石英ボート24が取り付けられてい
る。また、燃焼室30に供給された水素ガスを酸素ガス
と、燃焼室30内で高温にて混合し、燃焼させることに
よって、水蒸気を生成させる。かかる水蒸気は、配管3
1、ガス流路11及びガス導入部12を介して処理室1
0内に供給される。尚、ガス流路11は二重管構造の処
理室10の外側部分に位置する。
This vertical silicon oxide film forming apparatus includes a processing chamber 10 having a double tube structure made of quartz, a gas introduction unit 12 for introducing water vapor and the like into the processing chamber 10, and a gas from the processing chamber 10. A gas exhaust unit 13 for exhausting gas, a heater 14 for maintaining the inside of the processing chamber 10 at a predetermined atmospheric temperature via a cylindrical heat equalizing tube 16 made of SiC, and a substrate loading / unloading unit 20.
A gas introduction unit 21 for introducing nitrogen gas into and out of the substrate loading / unloading unit 20, a gas exhaust unit 22 for exhausting gas from the substrate loading / unloading unit 20, and a shutter for separating the processing chamber 10 from the substrate loading / unloading unit 20 15 and the silicon semiconductor substrate in processing chamber 1
It comprises an elevator mechanism 23 for carrying in and out of the vehicle. A quartz boat 24 for mounting a silicon semiconductor substrate is attached to the elevator mechanism 23. Further, the hydrogen gas supplied to the combustion chamber 30 is mixed with the oxygen gas at a high temperature in the combustion chamber 30 and burned to generate steam. Such water vapor is supplied to the pipe 3
1, processing chamber 1 via gas flow path 11 and gas introduction unit 12
It is supplied within 0. The gas flow path 11 is located outside the processing chamber 10 having a double pipe structure.

【0035】[工程−100]先ず、シリコン半導体基
板40に、公知の方法でLOCOS構造を有する素子分
離領域41を形成し、ウエルイオン注入、チャネルスト
ップイオン注入、閾値調整イオン注入を行う。尚、素子
分離領域はトレンチ構造を有していてもよい。その後、
NH4OH/H22水溶液で洗浄し更にHCl/H22
水溶液で洗浄するというRCA洗浄によりシリコン半導
体基板40の表面の微粒子や金属不純物を除去し、次い
で、0.1%フッ化水素酸水溶液によりシリコン半導体
基板40の表面洗浄を行い、シリコン半導体基板40の
表面を露出させる(図1の(A)参照)。尚、シリコン
半導体基板の表面は大半が水素で終端しており、一部が
フッ素で終端されている。
[Step-100] First, an element isolation region 41 having a LOCOS structure is formed in a silicon semiconductor substrate 40 by a known method, and well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation are performed. Note that the element isolation region may have a trench structure. afterwards,
Wash with aqueous NH 4 OH / H 2 O 2 and further add HCl / H 2 O 2
Fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning by washing with an aqueous solution, and then the surface of the silicon semiconductor substrate 40 is washed with a 0.1% aqueous hydrofluoric acid solution. The surface is exposed (see FIG. 1A). Most of the surface of the silicon semiconductor substrate is terminated with hydrogen, and part of the surface is terminated with fluorine.

【0036】[工程−110]縦型のシリコン酸化膜成
膜装置の処理室10へガス導入部12から窒素ガスを導
入し、処理室10内を窒素ガス雰囲気とし、且つ、均熱
管16を介してヒータ14によって処理室10内の雰囲
気温度を800〜1000゜Cに保持する。尚、この状
態においては、シャッター15は閉じておく(図4の
(A)参照)。基板搬入出部20は大気に解放された状
態である。そして、基板搬入出部20にシリコン半導体
基板40を搬入し、石英ボート24にシリコン半導体基
板40を載置する。基板搬入出部20へのシリコン半導
体基板40の搬入が完了した後、図示しない扉を閉め、
基板搬入出部20にガス導入部21から窒素ガスを導入
し、ガス排気部22から排出し、基板搬入出部20内を
窒素ガス雰囲気とする。基板搬入出部20内が十分に窒
素ガス雰囲気となった時点で、シャッター15を開き
(図4の(B)参照)、エレベータ機構23を作動させ
て石英ボート24を上昇させ、シリコン半導体基板40
を処理室10内に搬入する(図5の(A)参照)。エレ
ベータ機構23が最上昇位置に辿り着くと、石英ボート
24の基部によって処理室10と基板搬入出部20との
間は連通しなくなる構造となっている。
[Step-110] Nitrogen gas is introduced from the gas introduction unit 12 into the processing chamber 10 of the vertical silicon oxide film forming apparatus, and the inside of the processing chamber 10 is set to a nitrogen gas atmosphere. The temperature of the atmosphere in the processing chamber 10 is maintained at 800 to 1000 ° C. by the heater 14. In this state, the shutter 15 is closed (see FIG. 4A). The substrate loading / unloading section 20 is open to the atmosphere. Then, the silicon semiconductor substrate 40 is loaded into the substrate loading / unloading section 20, and the silicon semiconductor substrate 40 is placed on the quartz boat 24. After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading section 20 is completed, a door (not shown) is closed,
Nitrogen gas is introduced into the substrate loading / unloading section 20 from the gas introduction section 21 and discharged from the gas exhaust section 22 to make the inside of the substrate loading / unloading section 20 a nitrogen gas atmosphere. When the inside of the substrate loading / unloading section 20 becomes a sufficient nitrogen gas atmosphere, the shutter 15 is opened (see FIG. 4B), the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 is lifted.
Is loaded into the processing chamber 10 (see FIG. 5A). When the elevator mechanism 23 reaches the highest position, the processing chamber 10 and the substrate loading / unloading section 20 are not communicated by the base of the quartz boat 24.

【0037】その後、処理室10内の雰囲気温度を80
0〜1000゜Cに保持し、水素ガスを酸素ガスと燃焼
室30内で高温にて混合し、燃焼させることによって生
成した水蒸気を、配管31、ガス流路11及びガス導入
部12を介して処理室10へ導入し、ガス排気部13か
ら排気する。これによって、シリコン半導体基板40の
表面に厚さ20nmのシリコン酸化膜42が形成される
(図1の(B)及び図5の(B)参照)。シリコン酸化
膜42の形成後、処理室10内を窒素ガス雰囲気とし、
エレベータ機構23を動作させて石英ボート24を下降
させ、次いで、基板搬入出部20からシリコン半導体基
板40を搬出する。
Thereafter, the atmospheric temperature in the processing chamber 10 is set to 80
While maintaining the temperature at 0 to 1000 ° C., the hydrogen gas is mixed with the oxygen gas at a high temperature in the combustion chamber 30, and the steam generated by the combustion is supplied through the pipe 31, the gas passage 11 and the gas introduction unit 12. The gas is introduced into the processing chamber 10 and exhausted from the gas exhaust unit 13. As a result, a 20-nm-thick silicon oxide film 42 is formed on the surface of the silicon semiconductor substrate 40 (see FIG. 1B and FIG. 5B). After the formation of the silicon oxide film 42, the inside of the processing chamber 10 is set to a nitrogen gas atmosphere,
The elevator mechanism 23 is operated to lower the quartz boat 24, and then the silicon semiconductor substrate 40 is unloaded from the substrate loading / unloading section 20.

【0038】[工程−120]こうして、シリコン層に
相当するシリコン半導体基板40の表面にシリコン酸化
膜42を形成した後、このシリコン酸化膜42上に膜厚
が例えば0.05μmの第1の導電層43を形成する
(図1の(C)参照)。第1の導電層43は、例えば不
純物がドーピングされた多結晶シリコン層から構成さ
れ、モノシランガス(SiH4)を原料ガスとしたCV
D法にて形成することができる。
[Step-120] After the silicon oxide film 42 is formed on the surface of the silicon semiconductor substrate 40 corresponding to the silicon layer, the first conductive film having a thickness of, for example, 0.05 μm is formed on the silicon oxide film 42. The layer 43 is formed (see FIG. 1C). The first conductive layer 43 is composed of, for example, a polycrystalline silicon layer doped with an impurity, and has a CV using monosilane gas (SiH 4 ) as a source gas.
It can be formed by Method D.

【0039】[工程−130]次いで、第1の導電層4
3を選択的に除去してシリコン酸化膜42の一部を露出
させる。即ち、第1の導電層43上にパターニングされ
たレジスト44を形成し(図1の(D)参照)、このレ
ジスト44をエッチング用マスクとして第1の導電層4
3を選択的にエッチングする。その後、レジスト44を
除去する。こうして、図2の(A)に模式的に示す構造
を得ることができる。
[Step-130] Next, the first conductive layer 4
3 is selectively removed to expose a part of the silicon oxide film 42. That is, a patterned resist 44 is formed on the first conductive layer 43 (see FIG. 1D), and the first conductive layer 4 is formed using the resist 44 as an etching mask.
3 is selectively etched. After that, the resist 44 is removed. Thus, the structure schematically shown in FIG. 2A can be obtained.

【0040】[工程−140]その後、露出したシリコ
ン酸化膜42の厚さを薄くする(図2の(B)参照)。
即ち、シリコン酸化膜42を希フッ酸水溶液(HF:H
2O=0.6重量%:99.4重量%)中に3分間浸漬
し、露出したシリコン酸化膜の部分42Aの厚さを10
nmとする。
[Step-140] Thereafter, the thickness of the exposed silicon oxide film 42 is reduced (see FIG. 2B).
That is, the silicon oxide film 42 is coated with a dilute hydrofluoric acid aqueous solution (HF: H
2 O = 0.6% by weight: 99.4% by weight) for 3 minutes to reduce the thickness of the exposed silicon oxide film portion 42A to 10%.
nm.

【0041】[工程−150]次に、薄膜化されたシリ
コン酸化膜42Aに熱処理を施す。具体的には、シリコ
ン半導体基板40を、図3に示したシリコン酸化膜成膜
装置の基板搬入出部20に図示しない扉から搬入し、石
英ボート24に載置する。尚、処理室10へガス導入部
12から窒素ガスを導入し、処理室10内を窒素ガス等
の不活性ガス雰囲気とし(減圧雰囲気であってもよ
い)、且つ、均熱管16を介してヒータ14によって処
理室10内の雰囲気温度を850゜Cに保持する。尚、
この状態においては、シャッター15は閉じておく。そ
して、基板搬入出部20へのシリコン半導体基板40の
搬入が完了した後、図示しない扉を閉め、基板搬入出部
20にガス導入部21から窒素ガスを導入し、ガス排気
部22から排出し、基板搬入出部20内を窒素ガス雰囲
気とする。その後、シャッター15を開き、エレベータ
機構23を作動させて石英ボート24を上昇させ、シリ
コン半導体基板40を石英製の二重管構造の処理室10
内に搬入する。そして、塩化水素ガスを0.1容量%含
有する窒素ガスをガス導入部12から処理室10内に導
入し、薄膜化されたシリコン酸化膜42Aに対して、8
50゜C×30分間、熱処理を行う。以降、処理室10
内を窒素ガス雰囲気とし、エレベータ機構23を動作さ
せて石英ボート24を下降させ、次いで、基板搬入出部
20からシリコン半導体基板40を搬出する。
[Step-150] Next, heat treatment is performed on the thinned silicon oxide film 42A. Specifically, the silicon semiconductor substrate 40 is loaded into the substrate loading / unloading section 20 of the silicon oxide film forming apparatus shown in FIG. 3 from a door (not shown) and placed on the quartz boat 24. Note that nitrogen gas is introduced from the gas introduction unit 12 into the processing chamber 10, the inside of the processing chamber 10 is set to an inert gas atmosphere such as a nitrogen gas (or may be a reduced pressure atmosphere), and The temperature of the atmosphere in the processing chamber 10 is maintained at 850 ° C. by 14. still,
In this state, the shutter 15 is kept closed. After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading unit 20 is completed, a door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading unit 20 from the gas introduction unit 21, and the nitrogen gas is discharged from the gas exhaust unit 22. The inside of the substrate loading / unloading section 20 is set to a nitrogen gas atmosphere. Thereafter, the shutter 15 is opened, the elevator mechanism 23 is operated, the quartz boat 24 is raised, and the silicon semiconductor substrate 40 is placed in the processing chamber 10 having a double tube structure made of quartz.
Carry in. Then, a nitrogen gas containing 0.1% by volume of hydrogen chloride gas is introduced into the processing chamber 10 from the gas introduction unit 12, and 8 g of the silicon oxide film 42A is reduced.
Heat treatment is performed at 50 ° C. for 30 minutes. Thereafter, the processing room 10
The interior is set to a nitrogen gas atmosphere, the elevator mechanism 23 is operated to lower the quartz boat 24, and then the silicon semiconductor substrate 40 is unloaded from the substrate loading / unloading section 20.

【0042】[工程−160]次に、CVD法にて、不
純物がドーピングされた膜厚0.2μmの多結晶シリコ
ンから成る第2の導電層45を全面に形成した後(図2
の(C)参照)、第2の導電層45及び第1の導電層4
3をパターニングする。こうして、図2の(D)に模式
的に示すように、シリコン酸化膜42、第1の導電層4
3及び第2の導電層45から構成された第1の半導体素
子のためのゲート電極、並びに、薄膜化されたシリコン
酸化膜42A及び第2の導電層45から構成された第2
の半導体素子のためのゲート電極を作製することができ
る。尚、第1の半導体素子は、例えば周辺回路を構成
し、第2の半導体素子は、例えばメモリ回路を構成す
る。
[Step-160] Next, a second conductive layer 45 made of polycrystalline silicon doped with an impurity and having a thickness of 0.2 μm is formed on the entire surface by CVD (FIG. 2).
(C)), the second conductive layer 45 and the first conductive layer 4
3 is patterned. Thus, as schematically shown in FIG. 2D, the silicon oxide film 42 and the first conductive layer 4
A gate electrode for the first semiconductor element composed of the third and second conductive layers 45, and a second electrode composed of the thinned silicon oxide film 42A and the second conductive layer 45.
The gate electrode for the semiconductor element of the above can be manufactured. Note that the first semiconductor element constitutes, for example, a peripheral circuit, and the second semiconductor element constitutes, for example, a memory circuit.

【0043】[工程−170]その後、LDD構造を形
成するために、シリコン半導体基板40にイオン注入を
施し、次いで、全面に絶縁膜をCVD法にて堆積させ、
かかる酸化膜を異方性エッチングすることによって、ゲ
ート電極の側壁にゲートサイドウオールを形成する。そ
の後、シリコン半導体基板40にイオン注入を施し、次
いで、イオン注入された不純物を活性化させるためのア
ニール処理を施す。こうして、シリコン半導体基板40
に、ソース・ドレイン領域を形成する。次いで、全面に
層間絶縁層を形成し、接続孔の形成及び配線の形成を行
い、第1の半導体素子及び第2の半導体素子を作製する
ことができる。
[Step-170] Thereafter, in order to form an LDD structure, ions are implanted into the silicon semiconductor substrate 40, and then an insulating film is deposited on the entire surface by CVD.
A gate sidewall is formed on the side wall of the gate electrode by anisotropically etching the oxide film. After that, ion implantation is performed on the silicon semiconductor substrate 40, and then annealing is performed to activate the ion-implanted impurities. Thus, the silicon semiconductor substrate 40
Next, source / drain regions are formed. Next, an interlayer insulating layer is formed over the entire surface, connection holes and wirings are formed, so that a first semiconductor element and a second semiconductor element can be manufactured.

【0044】尚、実施例1においては、[工程−11
0]においてパイロジェニック酸化法にてシリコン半導
体基板40の表面に厚さ20nmのシリコン酸化膜を形
成したが、その代わりに、例えば塩化水素ガスを0.1
容量%含有する水蒸気、あるいは又、酸素ガスや塩酸を
含有する酸素ガスから成る水蒸気を含有しない酸化性ガ
スを用いてシリコン酸化膜を形成してもよい。更には、
シリコン酸化膜の形成後、雰囲気を不活性ガス雰囲気、
あるいは、例えば塩化水素ガスを0.1容量%含有する
不活性ガス雰囲気とした状態で雰囲気を850゜Cまで
昇温し、次いで、かかる雰囲気温度にて例えば塩化水素
ガスを0.1容量%含有する不活性ガス雰囲気中でシリ
コン酸化膜に対して30分間、予備熱処理を施してもよ
い。これらの態様における雰囲気を、以下の表2に示
す。尚、表中、「乾式ガス」は、酸素ガスや塩酸を含有
する酸素ガスから成る水蒸気を含有しない酸化性ガス雰
囲気を表し、「*湿式ガス」は、ハロゲン元素が含有さ
れた湿式ガス雰囲気を表し、「*不活性ガス」は、ハロ
ゲン元素が含有された不活性ガス雰囲気を表す。
In Example 1, [Step-11]
0], a silicon oxide film having a thickness of 20 nm was formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method.
The silicon oxide film may be formed using water vapor containing volume% or an oxidizing gas which does not contain water vapor, such as oxygen gas or oxygen gas containing hydrochloric acid. Furthermore,
After the formation of the silicon oxide film, the atmosphere is changed to an inert gas atmosphere,
Alternatively, the temperature is raised to 850 ° C. in an inert gas atmosphere containing, for example, 0.1% by volume of hydrogen chloride gas. A preliminary heat treatment may be performed on the silicon oxide film in an inert gas atmosphere for 30 minutes. The atmospheres in these embodiments are shown in Table 2 below. In the table, “dry gas” represents an oxidizing gas atmosphere containing no oxygen gas or oxygen gas containing hydrochloric acid and does not contain water vapor, and “* wet gas” represents a wet gas atmosphere containing a halogen element. "* Inert gas" represents an inert gas atmosphere containing a halogen element.

【0045】[0045]

【表2】 シリコン酸化膜の形成 予備熱処理温度への昇温 予備熱処理 湿式ガス 無し 無し 湿式ガス 不活性ガス *不活性ガス 湿式ガス *不活性ガス *不活性ガス *湿式ガス 無し 無し *湿式ガス 不活性ガス *不活性ガス *湿式ガス *不活性ガス *不活性ガス 乾式ガス 無し 無し 乾式ガス 不活性ガス *不活性ガス 乾式ガス *不活性ガス *不活性ガス[Table 2] Formation of silicon oxide film Temperature rise to pre-heat treatment temperature Pre-heat treatment Wet gas None None Wet gas Inert gas * Inert gas Wet gas * Inert gas * Inert gas * Wet gas None None * Wet gas not Active gas * Inert gas * Wet gas * Inert gas * Inert gas Dry gas None None Dry gas Inactive gas * Inert gas Dry gas * Inert gas * Inert gas

【0046】(実施例2)実施例2においては、シリコ
ン酸化膜の形成を、2段階の形成工程にて行った。即
ち、(A)シリコン層の表面からシリコン原子が脱離し
ない温度に雰囲気を保持した状態にて、湿式ガスを用い
た酸化法によってシリコン層の表面にシリコン酸化膜を
形成する第1のシリコン酸化膜形成工程と、(B)この
第1のシリコン酸化膜形成工程における雰囲気温度より
も高い雰囲気にて、湿式ガスを用いた酸化法によって、
更にシリコン酸化膜を形成する第2のシリコン酸化膜形
成工程にてシリコン酸化膜を形成した。尚、工程(A)
及び工程(B)における湿式ガスを用いた酸化法をパイ
ロジェニック酸化法とした。更には、工程(A)におけ
る雰囲気温度から工程(B)における雰囲気温度まで雰
囲気温度を昇温する昇温工程を含み、この昇温工程にお
ける雰囲気を不活性ガス雰囲気とした。このような2段
階のシリコン酸化膜の形成方法を採用することによっ
て、シリコン層の表面にシリコン酸化膜を形成する際の
シリコン層の表面に荒れ(凹凸)が発生することを防止
できる。また、シリコン酸化膜の形成後、シリコン酸化
膜上に第1の導電層を形成する前に、形成されたシリコ
ン酸化膜に対して予備熱処理を行う。実施例2は、実施
例1の[工程−110]のみが相違する工程であり、以
下、実施例2におけるシリコン酸化膜の形成工程及びそ
の直後の熱処理(予備熱処理)工程のみを説明する。
尚、他の工程は実施例1と同様とすればよい。
Example 2 In Example 2, the formation of the silicon oxide film was performed in two stages. That is, (A) a first silicon oxide film in which a silicon oxide film is formed on the surface of a silicon layer by an oxidation method using a wet gas while maintaining an atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer; A film forming step, and (B) an oxidation method using a wet gas in an atmosphere higher than the atmosphere temperature in the first silicon oxide film forming step,
Further, a silicon oxide film was formed in a second silicon oxide film forming step of forming a silicon oxide film. Step (A)
The oxidation method using the wet gas in the step (B) was defined as a pyrogenic oxidation method. Further, the method includes a temperature raising step of raising the ambient temperature from the ambient temperature in the step (A) to the ambient temperature in the step (B). By employing such a two-stage method of forming a silicon oxide film, it is possible to prevent the surface of the silicon layer from being roughened (irregularities) when the silicon oxide film is formed on the surface of the silicon layer. Further, after the formation of the silicon oxide film and before forming the first conductive layer on the silicon oxide film, a preliminary heat treatment is performed on the formed silicon oxide film. The second embodiment differs from the first embodiment only in [Step-110]. Hereinafter, only the step of forming the silicon oxide film in the second embodiment and the heat treatment (preliminary heat treatment) step immediately thereafter will be described.
The other steps may be the same as those in the first embodiment.

【0047】[工程−200]実施例1の[工程−10
0]の後、シリコン半導体基板40を、図3に示したシ
リコン酸化膜成膜装置の基板搬入出部20に図示しない
扉から搬入し、石英ボート24に載置する(図6の
(A)参照)。尚、処理室10へガス導入部12から窒
素ガスを導入し、処理室10内を窒素ガス等の不活性ガ
ス雰囲気とし(減圧雰囲気であってもよい)、且つ、均
熱管16を介してヒータ14によって処理室10内の雰
囲気温度を400゜Cに保持する。尚、この状態におい
ては、シャッター15は閉じておく。
[Step-200] [Step-10] of Example 1
0], the silicon semiconductor substrate 40 is loaded into the substrate loading / unloading section 20 of the silicon oxide film forming apparatus shown in FIG. 3 from a door (not shown) and placed on the quartz boat 24 ((A) in FIG. 6). reference). Note that nitrogen gas is introduced from the gas introduction unit 12 into the processing chamber 10, the inside of the processing chamber 10 is set to an inert gas atmosphere such as a nitrogen gas (or may be a reduced pressure atmosphere), and The atmosphere temperature in the processing chamber 10 is maintained at 400 ° C. by 14. In this state, the shutter 15 is closed.

【0048】[工程−210]そして、基板搬入出部2
0へのシリコン半導体基板40の搬入が完了した後、図
示しない扉を閉め、基板搬入出部20にガス導入部21
から窒素ガスを導入し、ガス排気部22から排出し、基
板搬入出部20内を窒素ガス雰囲気とする。尚、基板搬
入出部20内の酸素ガス濃度をモニターし、酸素ガス濃
度が例えば20ppm以下となったならば、基板搬入出
部20内が十分に窒素ガス雰囲気となったと判断する。
その後、シャッター15を開き(図6の(B)参照)、
エレベータ機構23を作動させて石英ボート24を上昇
させ、シリコン半導体基板40を石英製の二重管構造の
処理室10内に搬入する(図7の(A)参照)。処理室
10内の雰囲気温度はヒータ14によって400゜Cに
保持されているので、シリコン半導体基板40の表面に
荒れが発生することを抑制することができる。
[Step-210] Then, the substrate loading / unloading section 2
0, the door (not shown) is closed, and the gas introduction unit 21 is inserted into the substrate carry-in / out unit 20.
, And exhausted from the gas exhaust unit 22 to make the inside of the substrate loading / unloading unit 20 a nitrogen gas atmosphere. The oxygen gas concentration in the substrate loading / unloading section 20 is monitored, and if the oxygen gas concentration becomes, for example, 20 ppm or less, it is determined that the inside of the substrate loading / unloading section 20 has a sufficient nitrogen gas atmosphere.
After that, the shutter 15 is opened (see FIG. 6B),
The quartz boat 24 is raised by operating the elevator mechanism 23, and the silicon semiconductor substrate 40 is carried into the processing chamber 10 having a double tube structure made of quartz (see FIG. 7A). Since the atmosphere temperature in the processing chamber 10 is maintained at 400 ° C. by the heater 14, it is possible to prevent the surface of the silicon semiconductor substrate 40 from being roughened.

【0049】[工程−220]次いで、シリコン層(実
施例2においては、シリコン半導体基板40)の表面か
らシリコン原子が脱離しない温度(実施例2において
は、400゜C)に雰囲気を保持した状態で、湿式ガス
を用いた酸化法によってシリコン層の表面にシリコン酸
化膜42を形成する。実施例2においては、具体的に
は、燃焼室30内で生成した水蒸気を配管31、ガス流
路11及びガス導入部12を介して処理室10内に供給
し、パイロジェニック酸化法によってシリコン半導体基
板40の表面に厚さ1.2nmのシリコン酸化膜42を
形成する(図7の(B)参照)。このシリコン酸化膜の
厚さはSiO2の2〜3分子層に相当する厚さであり、
シリコン半導体基板の表面のステップを考慮しても、保
護膜として機能するのに十分な厚さである。尚、処理室
10の上方と下方に位置するシリコン半導体基板では処
理室10内の滞留時間が異なるが、400゜Cでの酸化
レートは極端に低く、表面反応によりシリコン酸化膜が
形成された後のシリコン酸化膜の膜厚増加は殆ど無視で
きるほど少なく、シリコン酸化膜の膜厚均一性を確保す
ることができる。
[Step-220] Next, the atmosphere was maintained at a temperature (400 ° C. in Example 2) at which silicon atoms were not desorbed from the surface of the silicon layer (the silicon semiconductor substrate 40 in Example 2). In this state, a silicon oxide film 42 is formed on the surface of the silicon layer by an oxidation method using a wet gas. In the second embodiment, specifically, the steam generated in the combustion chamber 30 is supplied into the processing chamber 10 through the pipe 31, the gas flow path 11, and the gas introduction unit 12, and the silicon semiconductor is formed by a pyrogenic oxidation method. A silicon oxide film 42 having a thickness of 1.2 nm is formed on the surface of the substrate 40 (see FIG. 7B). The thickness of this silicon oxide film is a thickness corresponding to a few molecular layers of SiO 2 ,
Even if the steps on the surface of the silicon semiconductor substrate are considered, the thickness is sufficient to function as a protective film. Although the residence time in the processing chamber 10 differs between the silicon semiconductor substrates located above and below the processing chamber 10, the oxidation rate at 400 ° C. is extremely low, and after the silicon oxide film is formed by the surface reaction. The increase in the thickness of the silicon oxide film is so small as to be almost negligible, and the uniformity of the thickness of the silicon oxide film can be ensured.

【0050】[工程−230]その後、処理室10内へ
の湿式ガスの供給を中止し、不活性ガス(窒素ガス)を
ガス導入部12から処理室10内に供給しながら、シリ
コン酸化膜成膜装置の処理室10内の雰囲気温度を、均
熱管16を介してヒータ14によって例えば800゜C
まで昇温する(図8の(A)参照)。尚、[工程−22
0]にてシリコン層の表面には保護膜としても機能する
シリコン酸化膜が既に形成されているので、この[工程
−230]において、シリコン層(シリコン半導体基板
40)の表面に荒れが発生することはない。
[Step-230] After that, the supply of the wet gas into the processing chamber 10 is stopped, and while the inert gas (nitrogen gas) is supplied from the gas introducing section 12 into the processing chamber 10, the silicon oxide film is formed. The temperature of the atmosphere in the processing chamber 10 of the film apparatus is set to, for example, 800 ° C. by the heater 14 through the soaking tube 16.
(See FIG. 8A). In addition, [Step-22]
In [Step-230], the surface of the silicon layer (silicon semiconductor substrate 40) is roughened because a silicon oxide film that also functions as a protective film is already formed on the surface of the silicon layer in [0]. Never.

【0051】[工程−240]800゜Cに処理室10
内の雰囲気温度が達した後、この温度に雰囲気を保持し
た状態にて、湿式ガスを用いた酸化法によって、更にシ
リコン酸化膜を形成する。具体的には、燃焼室30内で
生成した水蒸気を配管31、ガス流路11及びガス導入
部12を介して処理室10内に供給し、パイロジェニッ
ク酸化法によってシリコン半導体基板40の表面に総厚
20nmのシリコン酸化膜42を形成する(図8の
(B)参照)。
[Step-240] The processing chamber 10 was heated to 800 ° C.
After the internal temperature of the inside is reached, a silicon oxide film is further formed by an oxidation method using a wet gas while maintaining the atmosphere at this temperature. Specifically, water vapor generated in the combustion chamber 30 is supplied into the processing chamber 10 through the pipe 31, the gas flow path 11, and the gas introduction unit 12, and is entirely deposited on the surface of the silicon semiconductor substrate 40 by pyrogenic oxidation. A silicon oxide film 42 having a thickness of 20 nm is formed (see FIG. 8B).

【0052】[工程−250]その後、湿式ガスの供給
を中止し、窒素ガスをガス導入部12から処理室10内
に導入しつつ、処理室10の雰囲気温度をヒータ14に
よって850゜Cまで昇温する(図9の(A)参照)。
その後、塩化水素ガスを0.1容量%含有する窒素ガス
をガス導入部12から処理室10内に導入し、30分
間、予備熱処理を行う(図9の(B)参照)。
[Step-250] Thereafter, the supply of the wet gas is stopped, and the ambient temperature of the processing chamber 10 is raised to 850 ° C. by the heater 14 while the nitrogen gas is introduced into the processing chamber 10 from the gas introduction unit 12. Warm (see FIG. 9A).
Thereafter, a nitrogen gas containing 0.1% by volume of hydrogen chloride gas is introduced into the processing chamber 10 from the gas introduction unit 12, and a preliminary heat treatment is performed for 30 minutes (see FIG. 9B).

【0053】以上により、シリコン半導体基板40の表
面におけるシリコン酸化膜42の形成が完了する。以
降、処理室10内を窒素ガス雰囲気とし、エレベータ機
構23を動作させて石英ボート24を下降させ、次い
で、基板搬入出部20からシリコン半導体基板40を搬
出し、実施例1の[工程−120]以降の工程を実行す
る。
Thus, the formation of the silicon oxide film 42 on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the processing chamber 10 is set in a nitrogen gas atmosphere, the elevator mechanism 23 is operated to lower the quartz boat 24, and then the silicon semiconductor substrate 40 is carried out from the substrate carry-in / out section 20. ] Execute the subsequent steps.

【0054】(実施例3)実施例3においても、シリコ
ン酸化膜の形成を、実施例2と同様に2段階の形成工程
にて行った。実施例3が実施例2と相違する点は、工程
(A)及び工程(B)における湿式ガスを用いた酸化法
をパイロジェニック酸化法とし、かかる湿式ガスに0.
1容量%の塩化水素ガスを添加した点、及び、工程
(A)における雰囲気温度から工程(B)における雰囲
気温度まで雰囲気温度を昇温する昇温工程における雰囲
気を、塩化水素ガスを0.1容量%含有する不活性ガス
雰囲気とした点にある。これらの点を除き、実施例3の
ゲート電極の作製方法は実施例2と同様とすることがで
きる。以下、実施例2と異なる実施例3におけるシリコ
ン酸化膜の形成工程及びその直後の熱処理工程のみを説
明する。
Example 3 In Example 3, a silicon oxide film was formed in two steps as in Example 2. The third embodiment is different from the second embodiment in that the oxidation method using the wet gas in the steps (A) and (B) is a pyrogenic oxidation method.
The point at which 1% by volume of hydrogen chloride gas was added, and the atmosphere in the temperature raising step in which the temperature of the atmosphere was raised from the temperature in step (A) to the temperature in step (B) were changed to 0.1% hydrogen chloride gas That is, the inert gas atmosphere containing the volume% is used. Except for these points, the manufacturing method of the gate electrode of the third embodiment can be the same as that of the second embodiment. Hereinafter, only the process of forming the silicon oxide film and the heat treatment process immediately after in the third embodiment different from the second embodiment will be described.

【0055】ここで、実施例3においては、図10に模
式図を示す枚葉式シリコン酸化膜成膜装置を用いた。こ
のシリコン酸化膜成膜装置は、処理室50と、シリコン
層(例えばシリコン半導体基板)を加熱するための加熱
手段である抵抗加熱ヒータ51とを備えている。処理室
50は石英炉心管から成り、シリコン層にシリコン酸化
膜を形成するためにその内部にシリコン層を有する被処
理材であるシリコン半導体基板を収納する。加熱手段で
ある抵抗加熱ヒータ51は、処理室50の外側に配設さ
れており、且つ、シリコン層の表面と略平行に配設され
ている。シリコン層を有する被処理材である例えばシリ
コン半導体基板40は、ウエハ台52に載置され、処理
室50の一端に設けられたゲートバルブ53を介して、
処理室50内に搬入出される。シリコン酸化膜成膜装置
には、処理室50へ水蒸気等を導入するためのガス導入
部54と、処理室50からガスを排気するガス排気部5
5が更に備えられている。シリコン半導体基板の温度
は、図示しない熱電対によって測定することができる。
尚、燃焼室に供給された水素ガスを酸素ガスと、燃焼室
内で高温にて混合し、燃焼させることによって、水蒸気
を生成させる。かかる水蒸気は、配管及びガス導入部5
4を介して処理室50内に供給される。尚、燃焼室及び
配管の図示は省略した。
In the third embodiment, a single-wafer silicon oxide film forming apparatus whose schematic diagram is shown in FIG. 10 was used. This silicon oxide film forming apparatus includes a processing chamber 50 and a resistance heater 51 as heating means for heating a silicon layer (for example, a silicon semiconductor substrate). The processing chamber 50 is composed of a quartz furnace tube, and accommodates a silicon semiconductor substrate, which is a material to be processed, having a silicon layer therein for forming a silicon oxide film on the silicon layer. The resistance heater 51 serving as a heating means is provided outside the processing chamber 50 and is provided substantially in parallel with the surface of the silicon layer. For example, a silicon semiconductor substrate 40, which is a material to be processed having a silicon layer, is placed on a wafer table 52, and a gate valve 53 provided at one end of the processing chamber 50 is provided.
It is carried into and out of the processing chamber 50. The silicon oxide film forming apparatus includes a gas introduction unit 54 for introducing water vapor and the like into the processing chamber 50 and a gas exhaust unit 5 for exhausting gas from the processing chamber 50.
5 is further provided. The temperature of the silicon semiconductor substrate can be measured by a thermocouple (not shown).
The hydrogen gas supplied to the combustion chamber is mixed with the oxygen gas at a high temperature in the combustion chamber and burned to generate steam. Such water vapor is supplied to the pipe and the gas introduction unit 5.
4 and supplied into the processing chamber 50. In addition, illustration of a combustion chamber and piping was omitted.

【0056】あるいは又、図11に模式図を示す形式の
枚葉式シリコン酸化膜成膜装置を用いることもできる。
この図11に示した枚葉式シリコン酸化膜成膜装置にお
いては、加熱手段は、赤外線若しくは可視光を発する複
数のランプ51Aから構成されている。また、図示しな
いパイロメータによってシリコン半導体基板の温度を測
定する。その他の構造は、基本的には、図10に示した
シリコン酸化膜成膜装置と同様とすることができるの
で、詳細な説明は省略する。
Alternatively, a single-wafer silicon oxide film forming apparatus of the type schematically shown in FIG. 11 can be used.
In the single-wafer silicon oxide film forming apparatus shown in FIG. 11, the heating means is composed of a plurality of lamps 51A that emit infrared light or visible light. The temperature of the silicon semiconductor substrate is measured by a pyrometer (not shown). Other structures can be basically the same as those of the silicon oxide film forming apparatus shown in FIG. 10, and thus detailed description is omitted.

【0057】[工程−300]先ず、シリコン半導体基
板に、実施例1と同様の方法で、素子分離領域等を形成
した後、RCA洗浄によりシリコン半導体基板の表面の
微粒子や金属不純物を除去し、次いで、0.1%フッ化
水素酸水溶液によりシリコン半導体基板の表面洗浄を行
い、シリコン半導体基板の表面を露出させる。
[Step-300] First, after a device isolation region and the like are formed in the silicon semiconductor substrate in the same manner as in the first embodiment, fine particles and metal impurities on the surface of the silicon semiconductor substrate are removed by RCA cleaning. Next, the surface of the silicon semiconductor substrate is washed with a 0.1% aqueous hydrofluoric acid solution to expose the surface of the silicon semiconductor substrate.

【0058】[工程−310]次に、ウエハ台52に載
置されたシリコン半導体基板40を、図10若しくは図
11に示したシリコン酸化膜成膜装置のゲートバルブ5
3を開いて、処理室50内に搬入した後、ゲートバルブ
53を閉じる。このとき、処理室50内の雰囲気を、加
熱手段によって400゜C程度に加熱された不活性ガス
雰囲気としておく。尚、処理室50内の雰囲気をこのよ
うな条件とすることによって、シリコン半導体基板40
の表面に荒れが発生することを抑制することができる。
[Step-310] Next, the silicon semiconductor substrate 40 mounted on the wafer stage 52 is connected to the gate valve 5 of the silicon oxide film forming apparatus shown in FIG. 10 or FIG.
3, the gate valve 53 is closed, and the gate valve 53 is closed. At this time, the atmosphere in the processing chamber 50 is set to an inert gas atmosphere heated to about 400 ° C. by a heating unit. By setting the atmosphere in the processing chamber 50 under such conditions, the silicon semiconductor substrate 40
It is possible to suppress the occurrence of roughness on the surface.

【0059】[工程−320]次いで、シリコン層(実
施例3においては、シリコン半導体基板40)の表面か
らシリコン原子が脱離しない温度(実施例3において
は、400゜C)に雰囲気を保持した状態で、例えば塩
化水素ガスを0.1容量%含有する湿式ガスを用いた酸
化法によってシリコン層の表面にシリコン酸化膜42を
形成する。実施例3においては、具体的には、燃焼室
(図示せず)内で生成した水蒸気を配管(図示せず)及
びガス導入部54を介して処理室50内に供給し、パイ
ロジェニック酸化法によってシリコン半導体基板40の
表面に厚さ1.2nmのシリコン酸化膜を形成する。
[Step-320] Then, the atmosphere was maintained at a temperature (400 ° C. in Example 3) at which silicon atoms were not desorbed from the surface of the silicon layer (the silicon semiconductor substrate 40 in Example 3). In this state, for example, a silicon oxide film 42 is formed on the surface of the silicon layer by an oxidation method using a wet gas containing 0.1% by volume of hydrogen chloride gas. In the third embodiment, specifically, steam generated in a combustion chamber (not shown) is supplied into a processing chamber 50 through a pipe (not shown) and a gas introduction unit 54, and the pyrogenic oxidation method is used. Thus, a silicon oxide film having a thickness of 1.2 nm is formed on the surface of the silicon semiconductor substrate 40.

【0060】[工程−330]その後、例えば塩化水素
ガスを0.1容量%含有する湿式ガスを処理室50内へ
供給しながら、処理室50内の雰囲気温度を、加熱手段
によって例えば800゜Cまで昇温する。尚、実施例3
においては、加熱手段がシリコン層の表面と略平行に配
設されているので、シリコン層の昇温時のシリコン層
(シリコン半導体基板)の面内温度ばらつきの発生を抑
制することができる結果、昇温中に形成されるシリコン
酸化膜の面内膜厚ばらつきの発生を効果的に抑制するこ
とができる。
[Step-330] Then, while supplying a wet gas containing, for example, 0.1% by volume of hydrogen chloride gas into the processing chamber 50, the atmosphere temperature in the processing chamber 50 is set to 800 ° C. by a heating means. Heat up to Example 3
In the above, since the heating means is disposed substantially in parallel with the surface of the silicon layer, it is possible to suppress the occurrence of in-plane temperature variation of the silicon layer (silicon semiconductor substrate) when the temperature of the silicon layer is increased. It is possible to effectively suppress the in-plane thickness variation of the silicon oxide film formed during the temperature rise.

【0061】[工程−340]800゜Cに処理室50
内の雰囲気温度が達した後、この温度に雰囲気を保持し
た状態にて、例えば塩化水素ガスを0.1容量%含有す
る湿式ガスを用いた酸化法によって、更にシリコン酸化
膜を形成する。具体的には、燃焼室内で生成した水蒸気
及び塩化水素ガスを配管及びガス導入部54を介して処
理室50内に供給し、パイロジェニック酸化法によって
シリコン半導体基板40の表面に総厚20nmのシリコ
ン酸化膜42を形成する。
[Step-340] Processing chamber 50 at 800 ° C.
After the internal temperature of the inside is reached, a silicon oxide film is further formed by an oxidation method using, for example, a wet gas containing 0.1% by volume of hydrogen chloride gas while maintaining the atmosphere at this temperature. Specifically, water vapor and hydrogen chloride gas generated in the combustion chamber are supplied into the processing chamber 50 through a pipe and a gas introduction unit 54, and a silicon semiconductor substrate 40 having a total thickness of 20 nm is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. An oxide film 42 is formed.

【0062】[工程−350]その後、湿式ガスの供給
を中止し、例えば塩化水素ガスを0.1容量%含有する
窒素ガスをガス導入部54から処理室50内に導入しつ
つ、処理室50の雰囲気温度を加熱手段によって850
゜Cまで昇温する。その後、処理室50の雰囲気温度を
加熱手段によって850゜Cに保持した状態で、塩化水
素ガスを0.1容量%含有する窒素ガスをガス導入部5
4から処理室50内に導入し、5分間、熱処理を行う。
[Step-350] Thereafter, the supply of the wet gas is stopped, and for example, a nitrogen gas containing 0.1% by volume of hydrogen chloride gas is introduced into the processing chamber 50 from the gas introducing section 54 while the processing chamber 50 is being supplied. Temperature of 850 by heating means
Heat to ゜ C. Thereafter, while the atmosphere temperature of the processing chamber 50 is maintained at 850 ° C. by a heating means, a nitrogen gas containing 0.1% by volume of hydrogen chloride gas is introduced into the gas introduction unit 5.
4 and introduced into the processing chamber 50 and heat-treated for 5 minutes.

【0063】[工程−360]以上により、シリコン半
導体基板40の表面におけるシリコン酸化膜の形成が完
了する。以降、処理室50内を窒素ガス雰囲気とし、ゲ
ートバルブ53を開き、ウエハ台52に載置されたシリ
コン半導体基板40を処理室50から搬出する。そし
て、実施例1の[工程−120]以降の工程を実行す
る。
[Step-360] Through the above, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 50 is set to a nitrogen gas atmosphere, the gate valve 53 is opened, and the silicon semiconductor substrate 40 placed on the wafer table 52 is carried out of the processing chamber 50. Then, the steps after [Step-120] of the first embodiment are executed.

【0064】以上、本発明を好ましい実施例に基づき説
明したが、本発明はこれらの実施例に限定されるもので
はない。実施例にて説明した各種の条件やシリコン酸化
膜成膜装置の構造は例示であり、適宜変更することがで
きる。シリコン酸化膜の成膜は、パイロジェニック酸化
法だけでなく、純水の加熱により発生した水蒸気による
酸化法、酸素ガス又は不活性ガスによって加熱純水をバ
ブリングすることで発生した水蒸気による酸化法、ある
いはこれらの酸化法を併用した方法とすることができる
し、酸素ガス、あるいは塩酸を含有する酸素ガスといっ
た水蒸気を含有しない酸化性ガスを用いることもでき
る。
Although the present invention has been described based on the preferred embodiments, the present invention is not limited to these embodiments. The various conditions and the structure of the silicon oxide film forming apparatus described in the embodiments are merely examples, and can be changed as appropriate. The formation of the silicon oxide film is not only a pyrogenic oxidation method, but also an oxidation method using water vapor generated by heating pure water, an oxidation method using water vapor generated by bubbling heated pure water with an oxygen gas or an inert gas, Alternatively, a method combining these oxidation methods can be used, or an oxidizing gas that does not contain water vapor such as oxygen gas or oxygen gas containing hydrochloric acid can be used.

【0065】尚、[工程−220]及び[工程−24
0]における酸化法、あるいは[工程−320]及び
[工程−340]における酸化法は、同種の酸化法であ
っても、異種の酸化法であってもよい。実施例において
は、専らシリコン半導体基板の表面にシリコン酸化膜を
形成したが、エピタキシャルシリコン層、多結晶シリコ
ン層、あるいは非晶質シリコン層の表面にシリコン酸化
膜を形成することもできる。あるいは又、SOI構造に
おけるシリコン層の表面にシリコン酸化膜を形成しても
よいし、半導体素子や半導体素子の構成要素が形成され
た基板やこれらの上に成膜されたシリコン層の表面にシ
リコン酸化膜を形成してもよい。更には、半導体素子や
半導体素子の構成要素が形成された基板やこれらの上に
成膜された下地絶縁層の上に形成されたシリコン層の表
面にシリコン酸化膜を形成してもよい。例えば、CVD
法に基づきシリコン半導体基板の表面に選択的にエピタ
キシャル成長法にて単結晶のエピタキシャルシリコン層
を形成する条件を表3に例示する。
[Step-220] and [Step-24]
0] or the oxidation methods in [Step-320] and [Step-340] may be the same oxidation method or different oxidation methods. In the embodiments, the silicon oxide film is formed exclusively on the surface of the silicon semiconductor substrate. However, the silicon oxide film may be formed on the surface of an epitaxial silicon layer, a polycrystalline silicon layer, or an amorphous silicon layer. Alternatively, a silicon oxide film may be formed on the surface of a silicon layer in the SOI structure, or a silicon element may be formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or on a surface of a silicon layer formed thereon. An oxide film may be formed. Furthermore, a silicon oxide film may be formed on a surface of a silicon element formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or a base insulating layer formed on the substrate. For example, CVD
Table 3 shows conditions for forming a single-crystal epitaxial silicon layer selectively on the surface of a silicon semiconductor substrate by the epitaxial growth method based on the method.

【0066】[0066]

【表3】 使用ガス H2 :50slm SiH2Cl2:100sccm B26/H2 :0.1%−100sccm HCl :50sccm 温度:750゜C 圧力:5.3×103Pa(40Torr) 厚さ:30nmTable 3 Gas used: H 2 : 50 slm SiH 2 Cl 2 : 100 sccm B 2 H 6 / H 2 : 0.1% -100 sccm HCl: 50 sccm Temperature: 750 ° C. Pressure: 5.3 × 10 3 Pa (40 Torr) Thickness: 30 nm

【0067】あるいは又、実施例1では、[工程−10
0]において0.1%フッ化水素酸水溶液によりシリコ
ン半導体基板40の表面洗浄を行った後、[工程−11
0]においてシリコン半導体基板40をシリコン酸化膜
成膜装置に搬入したが、シリコン半導体基板40の表面
洗浄からシリコン酸化膜成膜装置への搬入までの雰囲気
を、不活性ガス(例えば窒素ガス)雰囲気としてもよ
い。尚、このような雰囲気は、例えば、シリコン半導体
基板の表面洗浄装置の雰囲気を不活性ガス雰囲気とし、
且つ、不活性ガスが充填された搬送用ボックス内にシリ
コン半導体基板40を納めてシリコン酸化膜成膜装置の
基板搬入出部20や処理室50に搬入する方法や、図1
2に模式図を示すように、表面洗浄装置、シリコン酸化
膜成膜装置、搬送路、ローダー及びアンローダーから構
成されたクラスターツール装置を用い、シリコン半導体
基板の表面洗浄装置からシリコン酸化膜成膜装置の基板
搬入出部20あるいは処理室50までを搬送路で結び、
かかる表面洗浄装置及び搬送路の雰囲気を不活性ガス雰
囲気とする方法によって達成することができる。
Alternatively, in Example 1, [Step-10
0], the surface of the silicon semiconductor substrate 40 was cleaned with a 0.1% aqueous solution of hydrofluoric acid, and then [Step-11].
0], the silicon semiconductor substrate 40 was carried into the silicon oxide film forming apparatus, but the atmosphere from cleaning the surface of the silicon semiconductor substrate 40 to carrying it into the silicon oxide film forming apparatus was changed to an inert gas (eg, nitrogen gas) atmosphere. It may be. Incidentally, such an atmosphere is, for example, an atmosphere of a surface cleaning apparatus for a silicon semiconductor substrate is an inert gas atmosphere,
In addition, a method in which the silicon semiconductor substrate 40 is placed in a transport box filled with an inert gas and is loaded into the substrate loading / unloading section 20 or the processing chamber 50 of the silicon oxide film forming apparatus,
As shown in a schematic diagram in FIG. 2, a silicon oxide film is formed from the silicon semiconductor substrate surface cleaning device using a surface cleaning device, a silicon oxide film forming device, a transport tool, a cluster tool device including a loader and an unloader. The transfer path connects the substrate loading / unloading section 20 of the apparatus to the processing chamber 50,
This can be achieved by such a surface cleaning apparatus and a method of setting the atmosphere of the transport path to an inert gas atmosphere.

【0068】あるいは又、0.1%フッ化水素酸水溶液
によりシリコン半導体基板40の表面洗浄を行う代わり
に、表4に例示する条件にて、無水フッ化水素ガスを用
いた気相洗浄法によってシリコン半導体基板40の表面
洗浄を行ってもよい。尚、パーティクルの発生防止のた
めにメタノールを添加する。あるいは又、表5に例示す
る条件にて、塩化水素ガスを用いた気相洗浄法によって
シリコン半導体基板40の表面洗浄を行ってもよい。
尚、シリコン半導体基板40の表面洗浄開始前あるいは
表面洗浄完了後における表面洗浄装置内の雰囲気や搬送
路等内の雰囲気は、不活性ガス雰囲気としてもよいし、
例えば1.3×10-1Pa(10-3Torr)程度の真空雰
囲気としてもよい。尚、搬送路等内の雰囲気を真空雰囲
気とする場合には、シリコン半導体基板を搬入する際の
シリコン酸化膜成膜装置の基板搬入出部20あるいは処
理室50の雰囲気を例えば1.3×10-1Pa(10-3
Torr)程度の真空雰囲気としておき、シリコン半導体基
板の搬入完了後、基板搬入出部20あるいは処理室50
の雰囲気を大気圧の不活性ガス(例えば窒素ガス)雰囲
気とすればよい。
Alternatively, instead of cleaning the surface of the silicon semiconductor substrate 40 with an aqueous 0.1% hydrofluoric acid solution, a gas phase cleaning method using anhydrous hydrogen fluoride gas is performed under the conditions shown in Table 4. The surface of the silicon semiconductor substrate 40 may be cleaned. Note that methanol is added to prevent generation of particles. Alternatively, the surface of the silicon semiconductor substrate 40 may be cleaned by a vapor phase cleaning method using hydrogen chloride gas under the conditions exemplified in Table 5.
Before the surface cleaning of the silicon semiconductor substrate 40 is started or after the surface cleaning is completed, the atmosphere in the surface cleaning apparatus or the atmosphere in the transfer path may be an inert gas atmosphere,
For example, a vacuum atmosphere of about 1.3 × 10 −1 Pa (10 −3 Torr) may be used. When the atmosphere in the transfer path or the like is a vacuum atmosphere, the atmosphere in the substrate loading / unloading section 20 or the processing chamber 50 of the silicon oxide film forming apparatus when loading the silicon semiconductor substrate is set to, for example, 1.3 × 10 3. -1 Pa (10 -3
(Torr), and after the loading of the silicon semiconductor substrate is completed, the substrate loading / unloading section 20 or the processing chamber 50 is set.
May be an inert gas (for example, nitrogen gas) atmosphere at atmospheric pressure.

【0069】[0069]

【表4】 無水フッ化水素ガス:300sccm メタノール蒸気 :80sccm 窒素ガス :1000sccm 圧力 :0.3Pa 温度 :60゜C[Table 4] Anhydrous hydrogen fluoride gas: 300 sccm Methanol vapor: 80 sccm Nitrogen gas: 1000 sccm Pressure: 0.3 Pa Temperature: 60 ° C

【0070】[0070]

【表5】 塩化水素ガス/窒素ガス:1容量% 温度 :800゜C[Table 5] Hydrogen chloride gas / nitrogen gas: 1% by volume Temperature: 800 ° C

【0071】尚、これらの場合のシリコン酸化膜成膜装
置としては、図3、図10、図11あるいは後述する図
13、図14に示すシリコン酸化膜成膜装置を用いるこ
とができる。これにより、シリコン酸化膜の形成前に水
素やフッ素で終端されたシリコン層の表面を清浄に保つ
ことができる結果、形成されたシリコン酸化膜中に水分
や有機物、あるいは又、Si−OHが取り込まれ、形成
されたシリコン酸化膜の特性が低下しあるいは欠陥が発
生することを、効果的に防ぐことができる。
As the silicon oxide film forming apparatus in these cases, the silicon oxide film forming apparatus shown in FIGS. 3, 10, and 11 or FIGS. 13 and 14 described later can be used. As a result, the surface of the silicon layer terminated with hydrogen or fluorine can be kept clean before the formation of the silicon oxide film. As a result, moisture, organic substances, or Si-OH is taken into the formed silicon oxide film. As a result, it is possible to effectively prevent the characteristics of the formed silicon oxide film from deteriorating or generating defects.

【0072】図3に示した縦型のシリコン酸化膜成膜装
置とは若干形式の異なる縦型のシリコン酸化膜成膜装置
の模式的な断面図を図13に示す。この縦型のシリコン
酸化膜成膜装置の処理室10は、上方領域10Aと下方
領域10Bから構成され、下方領域10Bの雰囲気温度
はヒータ14によって制御される。一方、上方領域10
Aの外側には、赤外線若しくは可視光を発する複数のラ
ンプ14Aが配設されている。そして、例えば、実施例
2の[工程−220]と同様の工程において、シリコン
層の表面からシリコン原子が脱離しない温度に雰囲気を
保持した状態で湿式ガスを用いた酸化法によってシリコ
ン層の表面にシリコン酸化膜を形成するが、このシリコ
ン酸化膜の形成は処理室10の下方領域10Bにて行
う。このとき、処理室10の上方領域10Aの雰囲気温
度は、ランプ14Aによって400゜Cに保持する。そ
の後、実施例2の[工程−230]と同様の工程におい
て、処理室10内への湿式ガスの供給を中止し、不活性
ガス(例えば窒素ガス)をガス導入部12から処理室1
0内に供給しながら、シリコン酸化膜成膜装置の処理室
10の上方領域10Aの雰囲気温度をランプ14Aによ
って所望の温度まで昇温させ、次いで、エレベータ機構
23を作動させて石英ボート24を上昇させ、シリコン
半導体基板40を処理室10の上方領域10Aに移す。
そして、実施例2の[工程−240]と同様の工程にお
いて、パイロジェニック酸化法によってシリコン半導体
基板40の表面にシリコン酸化膜42を形成する。次い
で、実施例2の[工程−250]と同様の工程におい
て、湿式ガスの供給を中止し、不活性ガス(例えば窒素
ガス)をガス導入部12から処理室10内に導入しつ
つ、処理室10の上方領域10Aの雰囲気温度をランプ
14Aによって850゜Cまで昇温する。その後、塩化
水素ガスを0.1容量%含有する不活性ガス(例えば窒
素ガス)をガス導入部12から処理室10内に導入し、
処理室10の上方領域10Aにおいて、30分間、熱処
理を行う。
FIG. 13 is a schematic cross-sectional view of a vertical silicon oxide film forming apparatus slightly different from the vertical silicon oxide film forming apparatus shown in FIG. The processing chamber 10 of the vertical silicon oxide film forming apparatus includes an upper region 10A and a lower region 10B, and the ambient temperature of the lower region 10B is controlled by a heater 14. On the other hand, the upper region 10
Outside of A, a plurality of lamps 14A that emit infrared light or visible light are provided. Then, for example, in the same step as [Step-220] of Example 2, the surface of the silicon layer is oxidized using a wet gas while maintaining an atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A silicon oxide film is formed in the lower region 10 </ b> B of the processing chamber 10. At this time, the ambient temperature of the upper region 10A of the processing chamber 10 is maintained at 400 ° C. by the lamp 14A. Thereafter, in the same step as [Step-230] of the second embodiment, the supply of the wet gas into the processing chamber 10 is stopped, and an inert gas (for example, nitrogen gas) is supplied from the gas introduction unit 12 to the processing chamber 1.
0, the ambient temperature of the upper region 10A of the processing chamber 10 of the silicon oxide film forming apparatus is raised to a desired temperature by the lamp 14A, and then the quartz boat 24 is raised by operating the elevator mechanism 23. Then, the silicon semiconductor substrate 40 is moved to the upper region 10A of the processing chamber 10.
Then, in a step similar to [Step-240] of the second embodiment, a silicon oxide film 42 is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. Next, in the same step as [Step-250] of the second embodiment, the supply of the wet gas is stopped, and an inert gas (for example, nitrogen gas) is introduced into the processing chamber 10 from the gas introduction unit 12 while the processing chamber is stopped. The temperature of the atmosphere in the upper region 10A of 10 is raised to 850 ° C. by the lamp 14A. Thereafter, an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride gas is introduced into the processing chamber 10 from the gas introduction unit 12,
In the upper region 10A of the processing chamber 10, heat treatment is performed for 30 minutes.

【0073】あるいは又、図11に示した枚葉式シリコ
ン酸化膜成膜装置とは若干形式の異なる枚葉式シリコン
酸化膜成膜装置の模式的な断面図を図14に示す。この
枚葉式シリコン酸化膜成膜装置の処理室50は、第1の
領域50Aと第2の領域50Bから構成され、第1の領
域50A及び第2の領域50Bのそれぞれの雰囲気温度
はランプ151A及びランプ151Bによって制御され
る。そして、例えば、実施例3の[工程−320]と同
様の工程において、シリコン層の表面からシリコン原子
が脱離しない温度に雰囲気を保持した状態で、湿式ガス
を用いた酸化法によってシリコン層の表面にシリコン酸
化膜を形成するが、このシリコン酸化膜の形成は処理室
50の第1の領域50Aにて行う。尚、第1の領域50
Aにおける雰囲気温度の制御はランプ151Aによって
行われる。このとき、処理室50の第2の領域50Bの
雰囲気温度は、ランプ151Bによって400゜Cに保
持する。その後、実施例3の[工程−330]と同様の
工程において、処理室50内への湿式ガスの供給を継続
しながら、処理室50の第2の領域50Bの雰囲気温度
を、ランプ151Bによって所望の温度まで昇温し、シ
リコン半導体基板を第2の領域50Bに移す。その後、
[工程−340]と同様の工程において、所望の温度に
処理室50の第2の領域50Bの雰囲気温度をランプ1
51Bによって保持した状態にて、湿式ガスを用いた酸
化法にて、更にシリコン酸化膜を形成する。その後、
[工程−350]と同様の工程において、湿式ガスの供
給を中止し、不活性ガス(例えば窒素ガス)をガス導入
部54から処理室50内に導入しつつ、処理室50の第
2の領域50Bの雰囲気温度をランプ151Bによって
850゜Cまで昇温する。その後、塩化水素ガスを0.
1容量%含有する不活性ガス(例えば窒素ガス)をガス
導入部54から処理室50内に導入し、5分間、熱処理
を行う。尚、図14のシリコン酸化膜成膜装置における
ランプの代わりに、図10に示したと同様に抵抗加熱ヒ
ータを用いることもできる。
FIG. 14 is a schematic cross-sectional view of a single-wafer silicon oxide film forming apparatus having a slightly different form from the single-wafer silicon oxide film forming apparatus shown in FIG. The processing chamber 50 of the single-wafer silicon oxide film forming apparatus includes a first region 50A and a second region 50B, and the ambient temperature of each of the first region 50A and the second region 50B is a lamp 151A. And the lamp 151B. Then, for example, in the same step as [Step-320] of the third embodiment, while maintaining the atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer, the oxidation of the silicon layer is performed using a wet gas. A silicon oxide film is formed on the surface, and this silicon oxide film is formed in the first region 50A of the processing chamber 50. The first area 50
The control of the ambient temperature in A is performed by the lamp 151A. At this time, the ambient temperature in the second region 50B of the processing chamber 50 is maintained at 400 ° C. by the lamp 151B. Then, in the same step as [Step-330] of the third embodiment, while the supply of the wet gas into the processing chamber 50 is continued, the ambient temperature of the second region 50B of the processing chamber 50 is set to a desired value by the lamp 151B. Then, the silicon semiconductor substrate is moved to the second region 50B. afterwards,
In the same step as [Step-340], the ambient temperature of the second region 50B of the processing chamber 50 is set to the desired temperature by using the lamp 1.
While holding the silicon oxide film 51B, a silicon oxide film is further formed by an oxidation method using a wet gas. afterwards,
In the same step as [Step-350], the supply of the wet gas is stopped, and an inert gas (for example, nitrogen gas) is introduced into the processing chamber 50 from the gas introduction unit 54, and the second region of the processing chamber 50 is The ambient temperature of 50B is raised to 850 ° C. by the lamp 151B. After that, hydrogen chloride gas was added to 0.1 g.
An inert gas (for example, nitrogen gas) containing 1% by volume is introduced into the processing chamber 50 from the gas introduction unit 54, and heat treatment is performed for 5 minutes. In addition, instead of the lamp in the silicon oxide film forming apparatus of FIG. 14, a resistance heater can be used as shown in FIG.

【0074】表6に、シリコン層の表面からシリコン原
子が脱離しない温度に雰囲気を保持した状態にて、湿式
ガスを用いた酸化法によってシリコン層の表面にシリコ
ン酸化膜を形成する第1のシリコン酸化膜形成工程(表
6では第1の工程と表記した)における雰囲気、雰囲気
温度を所望の温度まで昇温する工程(表6では第1の昇
温と表記した)における雰囲気、所望の温度に雰囲気を
保持した状態にて、湿式ガスを用いた酸化法によって、
更にシリコン酸化膜を形成する第2のシリコン酸化膜形
成工程(表6では第2の工程と表記した)における雰囲
気、並びに、形成されたシリコン酸化膜に熱処理を施す
ために雰囲気を昇温する工程(表6では第2の昇温と表
記した)における雰囲気の組み合わせを示す。尚、表6
中、湿式ガス雰囲気を「湿式ガス」と表記し、ハロゲン
元素を含有する湿式ガス雰囲気を「*湿式ガス」と表記
し、不活性ガス雰囲気を「不活性ガス」と表記し、ハロ
ゲン元素を含有する不活性ガス雰囲気「*不活性ガス」
と表記した。表6中、「無し/不活性ガス/*不活性ガ
ス」は、予備熱処理を行わなくともよく、予備熱処理を
行う場合には、形成されたシリコン酸化膜に熱処理を施
すために雰囲気を昇温する工程における雰囲気を、不活
性ガス雰囲気としてもよいし、ハロゲン元素を含有する
不活性ガス雰囲気としてもよいことを意味する。ここ
で、表6に示した各種の雰囲気の組み合わせは、図3や
図13に示したシリコン酸化膜成膜装置、図10、図1
1や図14に示したシリコン酸化膜成膜装置、あるいは
又、これらの組み合わせ、更には、図12に示したクラ
スターツール装置にて実現することができる。
Table 6 shows that a silicon oxide film was formed on the surface of the silicon layer by an oxidation method using a wet gas while maintaining the atmosphere at a temperature at which silicon atoms were not desorbed from the surface of the silicon layer. Atmosphere in a silicon oxide film forming step (indicated as a first step in Table 6), an atmosphere in a step of raising the ambient temperature to a desired temperature (in Table 6, referred to as a first temperature increase), a desired temperature With the atmosphere maintained, by the oxidation method using a wet gas,
Further, an atmosphere in a second silicon oxide film forming step of forming a silicon oxide film (indicated as a second step in Table 6), and a step of raising the temperature of the atmosphere in order to perform a heat treatment on the formed silicon oxide film The combinations of the atmospheres (in Table 6, described as the second temperature increase) are shown. Table 6
Medium and wet gas atmospheres are described as "wet gas", wet gas atmospheres containing halogen elements are described as "* wet gas", and inert gas atmospheres are described as "inert gas" and contain halogen elements Inert gas atmosphere "* inert gas"
It was written. In Table 6, "none / inert gas / * inert gas" does not require pre-heat treatment, and when pre-heat treatment is performed, the atmosphere is heated to heat-treat the formed silicon oxide film. In this case, the atmosphere in the step (b) may be an inert gas atmosphere or an inert gas atmosphere containing a halogen element. Here, the combinations of various atmospheres shown in Table 6 correspond to the silicon oxide film forming apparatuses shown in FIGS.
This can be realized by the silicon oxide film forming apparatus shown in FIG. 1 or FIG. 14, or a combination thereof, and furthermore, the cluster tool apparatus shown in FIG.

【0075】[0075]

【表6】 第1の工程 第1の昇温 第2の工程 第2の昇温 乾式ガス 不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 湿式ガス 不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 *乾式ガス 不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *不活性ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上 同上 *湿式ガス 乾式ガス 無し/不活性ガス/*不活性ガス 同上 同上 湿式ガス 同上 同上 同上 *湿式ガス 同上[Table 6] 1st process 1st temperature rise 2nd process 2nd temperature rise Dry gas Inert gas Dry gas None / Inert gas / * Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same as above Same as above * Inert gas No dry gas / Inert gas / * Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same as above Same as above Wet gas Dry gas None / Inert gas / * Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same as above * Wet gas Dry gas None / Inert gas / Same as above Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same as above Wet gas Inert gas No dry gas / Inert gas / * Same as above * Inert gas Dry gas None / Inert gas / * Inert gas Same as above Wet gas Same as above Same as above * Wet gas Same as above Same as above Wet gas Dry gas None / Inert gas / * Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same as above Same as above * Wet gas Dry gas None / Inert gas / * Inert gas Same as above Same as above Wet gas Same as above * Wet gas Same as above * Dry gas Inert gas Dry gas None / Inert gas Same as above Wet gas Same as above Same as above * Wet gas Same as above * Inert gas No dry gas / Inert gas / * Inert gas Same as above Wet gas Same as above Same as above * Wet gas Same as above Same as above Wet gas Dry gas None / Inert gas Same as above Same as above Wet gas Same as above Same as above * Wet gas Same above Same as above * Wet gas Dry gas None / Inert gas / * Inert gas Same as above Wet gas Same as above Same as above * Wet gas Same as above

【0076】実施例においては、2種類の厚さのシリコ
ン酸化膜を形成したが、本発明におけるシリコン酸化膜
の厚さは2種類に限定されない。例えば、図15及び図
16に示すように、3種類(あるいはそれ以上)の厚さ
のシリコン酸化膜を形成することもできる。この場合に
は、例えば、実施例1の[工程−120]において、シ
リコン酸化膜42上に膜厚が例えば0.05μmの第1
の導電層43を形成した後、[工程−130]におい
て、第1の導電層43を選択的に除去してシリコン酸化
膜42の一部を露出させる(図15の(A)参照)。次
いで、露出したシリコン酸化膜42の厚さを薄くする
(図15の(B)参照)。その後、レジスト44の一部
を除去し、残されたレジスト44をエッチング用マスク
として第1の導電層43を再び選択的にエッチングする
(図16の(A)。次いで、露出したシリコン酸化膜4
2,42Aの厚さを薄くする(図16の(B)参照)。
こうして、最も厚さの薄いシリコン酸化膜42A、中程
度に厚さの薄いシリコン酸化膜42B、及び最も厚さの
厚いシリコン酸化膜42を有する素子形成領域を形成す
ることができる。
In the embodiment, two types of silicon oxide films are formed, but the thickness of the silicon oxide film in the present invention is not limited to two types. For example, as shown in FIGS. 15 and 16, three types (or more) of silicon oxide films can be formed. In this case, for example, in [Step-120] of the first embodiment, the first film having a thickness of, for example, 0.05 μm is formed on the silicon oxide film 42.
After the formation of the conductive layer 43, in [Step-130], the first conductive layer 43 is selectively removed to expose a part of the silicon oxide film 42 (see FIG. 15A). Next, the thickness of the exposed silicon oxide film 42 is reduced (see FIG. 15B). After that, a part of the resist 44 is removed, and the first conductive layer 43 is selectively etched again using the remaining resist 44 as an etching mask (FIG. 16A. Then, the exposed silicon oxide film 4).
The thickness of 2, 42A is reduced (see FIG. 16B).
In this manner, an element formation region having the thinnest silicon oxide film 42A, the intermediately thin silicon oxide film 42B, and the thickest silicon oxide film 42 can be formed.

【0077】[0077]

【発明の効果】本発明の半導体装置におけるゲート電極
の作製方法によれば、シリコン酸化膜の形成を本質的に
1回行えばよく、2種類のシリコン酸化膜を形成する従
来の方法のように不要なシリコン酸化膜を選択的に除去
し、再びシリコン酸化膜を形成する工程や、選択的にシ
リコン酸化膜を除去する工程等が不要である。従って、
作製工程を左程増加させることなく、厚さの異なるシリ
コン酸化膜を有するゲート電極を作製することが可能と
なる。また、シリコン酸化膜上に直接レジストを形成す
る必要がないので、シリコン酸化膜の信頼性や特性の劣
化を防止することができる。更には、露出したシリコン
酸化膜の厚さを薄くした後、薄膜化されたシリコン酸化
膜に熱処理を施すので、シリコン酸化膜の薄膜化によっ
て生じた汚染の除去や、欠陥の除去、修復を行うことが
できる結果、特性の優れたシリコン酸化膜を得ることが
できる。
According to the method of manufacturing a gate electrode in a semiconductor device of the present invention, the formation of a silicon oxide film only needs to be performed essentially once, as in the conventional method of forming two types of silicon oxide films. A step of selectively removing an unnecessary silicon oxide film and forming a silicon oxide film again, a step of selectively removing the silicon oxide film, and the like are unnecessary. Therefore,
A gate electrode having a silicon oxide film having a different thickness can be manufactured without increasing the number of manufacturing steps to the left. Further, since it is not necessary to form a resist directly on the silicon oxide film, it is possible to prevent deterioration in reliability and characteristics of the silicon oxide film. Furthermore, since the thickness of the exposed silicon oxide film is reduced and then the thinned silicon oxide film is subjected to a heat treatment, the contamination caused by the thinning of the silicon oxide film and the removal and repair of defects are performed. As a result, a silicon oxide film having excellent characteristics can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】実施例1の半導体装置におけるゲート電極の作
製方法を説明するための半導体基板との模式的な一部断
面図である。
FIGS. 1A and 1B are schematic partial cross-sectional views illustrating a method for manufacturing a gate electrode in a semiconductor device of Example 1 with a semiconductor substrate. FIGS.

【図2】図1に引き続き、実施例1の半導体装置におけ
るゲート電極の作製方法を説明するための半導体基板と
の模式的な一部断面図である。
FIG. 2 is a schematic partial cross-sectional view of a semiconductor substrate for illustrating a method for manufacturing a gate electrode in the semiconductor device of Example 1, following FIG. 1;

【図3】実施例1にて使用した縦型のバッチ式シリコン
酸化膜成膜装置の模式図である。
FIG. 3 is a schematic view of a vertical batch type silicon oxide film forming apparatus used in Example 1.

【図4】実施例1におけるシリコン酸化膜の形成方法を
説明するためのシリコン酸化膜成膜装置等の模式的な断
面図である。
FIG. 4 is a schematic sectional view of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 1.

【図5】図4に引き続き、実施例1におけるシリコン酸
化膜の形成方法を説明するためのシリコン酸化膜成膜装
置等の模式的な断面図である。
FIG. 5 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 1, following FIG. 4;

【図6】実施例2におけるシリコン酸化膜の形成方法を
説明するためのシリコン酸化膜成膜装置等の模式的な断
面図である。
FIG. 6 is a schematic sectional view of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 2.

【図7】図6に引き続き、実施例2におけるシリコン酸
化膜の形成方法を説明するためのシリコン酸化膜成膜装
置等の模式的な断面図である。
FIG. 7 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 2 following FIG. 6;

【図8】図7に引き続き、実施例2におけるシリコン酸
化膜の形成方法を説明するためのシリコン酸化膜成膜装
置等の模式的な断面図である。
FIG. 8 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 2, following FIG. 7;

【図9】図8に引き続き、実施例2におけるシリコン酸
化膜の形成方法を説明するためのシリコン酸化膜成膜装
置等の模式的な断面図である。
FIG. 9 is a schematic cross-sectional view of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 2, following FIG.

【図10】実施例3にて使用した枚葉式シリコン酸化膜
成膜装置の模式図である。
FIG. 10 is a schematic view of a single-wafer silicon oxide film forming apparatus used in Example 3.

【図11】図10とは若干構造が異なる、枚葉式シリコ
ン酸化膜成膜装置の模式的な断面図である。
FIG. 11 is a schematic cross-sectional view of a single-wafer silicon oxide film forming apparatus having a slightly different structure from FIG.

【図12】クラスターツール装置の模式図である。FIG. 12 is a schematic view of a cluster tool device.

【図13】図3に示した縦型のシリコン酸化膜成膜装置
とは若干形式の異なる縦型のシリコン酸化膜成膜装置の
模式的な断面図である。
13 is a schematic cross-sectional view of a vertical silicon oxide film forming apparatus having a slightly different type from the vertical silicon oxide film forming apparatus shown in FIG. 3;

【図14】図11に示した枚葉式シリコン酸化膜成膜装
置とは若干形式の異なる枚葉式シリコン酸化膜成膜装置
の模式的な断面図である。
FIG. 14 is a schematic cross-sectional view of a single-wafer silicon oxide film forming apparatus having a slightly different type from the single-wafer silicon oxide film forming apparatus shown in FIG. 11;

【図15】3種類の厚さのシリコン酸化膜を形成する方
法を説明するためのシリコン半導体基板等の模式的な一
部断面図である。
FIG. 15 is a schematic partial cross-sectional view of a silicon semiconductor substrate or the like for describing a method of forming silicon oxide films having three different thicknesses.

【図16】図15に引き続き、3種類の厚さのシリコン
酸化膜を形成する方法を説明するためのシリコン半導体
基板等の模式的な一部断面図である。
FIG. 16 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining a method of forming three types of silicon oxide films, following FIG.

【図17】従来の2種類の厚さのシリコン酸化膜を形成
する方法を説明するためのシリコン半導体基板等の模式
的な一部断面図である。
FIG. 17 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for describing a conventional method of forming silicon oxide films having two different thicknesses.

【図18】図17に引き続き、従来の2種類の厚さのシ
リコン酸化膜を形成する方法を説明するためのシリコン
半導体基板等の模式的な一部断面図である。
FIG. 18 is a schematic partial cross-sectional view of a silicon semiconductor substrate or the like for explaining a conventional method for forming silicon oxide films having two different thicknesses, following FIG. 17;

【図19】従来の2種類の厚さのシリコン酸化膜を形成
する方法を説明するためのシリコン半導体基板等の模式
的な一部断面図である。
FIG. 19 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining a conventional method of forming silicon oxide films having two different thicknesses.

【図20】図19に引き続き、従来の2種類の厚さのシ
リコン酸化膜を形成する方法を説明するためのシリコン
半導体基板等の模式的な一部断面図である。
FIG. 20 is a schematic partial cross-sectional view of a silicon semiconductor substrate or the like for explaining a conventional method for forming silicon oxide films having two different thicknesses, following FIG. 19;

【符号の説明】[Explanation of symbols]

10・・・処理室、11・・・ガス流路、12・・・ガ
ス導入部、13・・・ガス排気部、14・・・ヒータ、
15・・・シャッター、16・・・均熱管、20・・・
基板搬入出部、21・・・ガス導入部、22・・・ガス
排気部、23・・・エレベータ機構、24・・・石英ボ
ート、30・・・燃焼室、31・・・配管、40・・・
シリコン半導体基板、41・・・素子分離領域、42・
・・シリコン酸化膜、42A,42B・・・薄膜化され
たシリコン酸化膜、43・・・第1の導電層、44・・
・レジスト、45・・・第2の導電層
DESCRIPTION OF SYMBOLS 10 ... Processing chamber, 11 ... Gas flow path, 12 ... Gas introduction part, 13 ... Gas exhaust part, 14 ... Heater,
15 ... shutter, 16 ... heat equalizing tube, 20 ...
Substrate loading / unloading section, 21 gas introduction section, 22 gas exhaust section, 23 elevator mechanism, 24 quartz boat, 30 combustion chamber, 31 pipe, 40・ ・
Silicon semiconductor substrate, 41 ... element isolation region, 42
..Silicon oxide films, 42A, 42B... Thinned silicon oxide films, 43... First conductive layer, 44.
.Resist, 45... Second conductive layer

Claims (24)

【特許請求の範囲】[Claims] 【請求項1】第1の半導体素子と、該第1の半導体素子
のゲート電極を構成するシリコン酸化膜の厚さとは異な
る厚さを有するシリコン酸化膜から構成されたゲート電
極を備えた第2の半導体素子とから成る半導体装置にお
ける各ゲート電極の作製方法であって、 (イ)シリコン層の表面にシリコン酸化膜を形成する工
程と、 (ロ)該シリコン酸化膜上に第1の導電層を形成する工
程と、 (ハ)該第1の導電層を選択的に除去してシリコン酸化
膜の一部を露出させる工程と、 (ニ)該露出したシリコン酸化膜の厚さを薄くする工程
と、 (ホ)該薄膜化されたシリコン酸化膜に熱処理を施す工
程と、 (ヘ)全面に第2の導電層を形成した後、第2の導電層
及び第1の導電層をパターニングする工程、から成り、
以て、シリコン酸化膜、第1の導電層及び第2の導電層
から構成された第1の半導体素子のためのゲート電極、
並びに、薄膜化されたシリコン酸化膜及び第2の導電層
から構成された第2の半導体素子のためのゲート電極を
作製することを特徴とする半導体装置におけるゲート電
極の作製方法。
1. A semiconductor device comprising: a first semiconductor element; and a second electrode having a gate electrode formed of a silicon oxide film having a thickness different from a thickness of a silicon oxide film forming a gate electrode of the first semiconductor element. A method for manufacturing each gate electrode in a semiconductor device comprising: (a) a step of forming a silicon oxide film on a surface of a silicon layer; and (b) a first conductive layer on the silicon oxide film. (C) selectively removing the first conductive layer to expose a portion of the silicon oxide film; and (d) reducing the thickness of the exposed silicon oxide film. (E) a step of performing a heat treatment on the thinned silicon oxide film; and (f) a step of patterning the second conductive layer and the first conductive layer after forming a second conductive layer on the entire surface. , Consisting of
Thus, a gate electrode for a first semiconductor device composed of a silicon oxide film, a first conductive layer and a second conductive layer,
A method for manufacturing a gate electrode in a semiconductor device, comprising: manufacturing a gate electrode for a second semiconductor element including a thinned silicon oxide film and a second conductive layer.
【請求項2】前記工程(ホ)における熱処理を、ハロゲ
ン元素を含有する不活性ガス雰囲気中で行うことを特徴
とする請求項1に記載の半導体装置におけるゲート電極
の作製方法。
2. The method for manufacturing a gate electrode in a semiconductor device according to claim 1, wherein the heat treatment in the step (e) is performed in an inert gas atmosphere containing a halogen element.
【請求項3】ハロゲン元素は塩素であることを特徴とす
る請求項2に記載の半導体装置におけるゲート電極の作
製方法。
3. The method for manufacturing a gate electrode in a semiconductor device according to claim 2, wherein the halogen element is chlorine.
【請求項4】塩素は塩化水素の形態であり、不活性ガス
中に含有される塩化水素の濃度は0.02乃至10容量
%であることを特徴とする請求項3に記載の半導体装置
におけるゲート電極の作製方法。
4. The semiconductor device according to claim 3, wherein the chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Method for manufacturing gate electrode.
【請求項5】熱処理は700乃至950゜Cの温度で行
われることを特徴とする請求項2に記載の半導体装置に
おけるゲート電極の作製方法。
5. The method for manufacturing a gate electrode in a semiconductor device according to claim 2, wherein the heat treatment is performed at a temperature of 700 to 950 ° C.
【請求項6】熱処理は炉アニール処理であることを特徴
とする請求項5に記載の半導体装置におけるゲート電極
の作製方法。
6. The method according to claim 5, wherein the heat treatment is a furnace annealing process.
【請求項7】工程(イ)にてシリコン層の表面にシリコ
ン酸化膜を形成した後、該シリコン酸化膜上に第1の導
電層を形成する前に、形成されたシリコン酸化膜に対し
て熱処理を行うことを特徴とする請求項1に記載の半導
体装置におけるゲート電極の作製方法。
7. A method according to claim 1, wherein after forming a silicon oxide film on the surface of the silicon layer in the step (a), before forming the first conductive layer on the silicon oxide film, the formed silicon oxide film is removed. The method for manufacturing a gate electrode in a semiconductor device according to claim 1, wherein heat treatment is performed.
【請求項8】熱処理を、ハロゲン元素を含有する不活性
ガス雰囲気中で行うことを特徴とする請求項7に記載の
半導体装置におけるゲート電極の作製方法。
8. The method for manufacturing a gate electrode in a semiconductor device according to claim 7, wherein the heat treatment is performed in an inert gas atmosphere containing a halogen element.
【請求項9】ハロゲン元素は塩素であることを特徴とす
る請求項8に記載の半導体装置におけるゲート電極の作
製方法。
9. The method for manufacturing a gate electrode in a semiconductor device according to claim 8, wherein the halogen element is chlorine.
【請求項10】塩素は塩化水素の形態であり、不活性ガ
ス中に含有される塩化水素の濃度は0.02乃至10容
量%であることを特徴とする請求項9に記載の半導体装
置におけるゲート電極の作製方法。
10. The semiconductor device according to claim 9, wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Method for manufacturing gate electrode.
【請求項11】熱処理は700乃至950゜Cの温度で
行われることを特徴とする請求項7に記載の半導体装置
におけるゲート電極の作製方法。
11. The method for manufacturing a gate electrode in a semiconductor device according to claim 7, wherein the heat treatment is performed at a temperature of 700 to 950 ° C.
【請求項12】工程(イ)におけるシリコン層表面への
シリコン酸化膜の形成は、 (A)シリコン層の表面からシリコン原子が脱離しない
温度に雰囲気を保持した状態にて、湿式ガスを用いた酸
化法によって該シリコン層の表面にシリコン酸化膜を形
成する第1のシリコン酸化膜形成工程と、 (B)該第1のシリコン酸化膜形成工程における雰囲気
温度よりも高い雰囲気にて、湿式ガスを用いた酸化法に
よって、更にシリコン酸化膜を形成する第2のシリコン
酸化膜形成工程、から成ることを特徴とする請求項1に
記載の半導体装置におけるゲート電極の作製方法。
12. A method for forming a silicon oxide film on the surface of a silicon layer in the step (a) comprises: (A) using a wet gas while maintaining an atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A first silicon oxide film forming step of forming a silicon oxide film on the surface of the silicon layer by the oxidizing method, and (B) a wet gas in an atmosphere higher than the ambient temperature in the first silicon oxide film forming step. 2. The method for manufacturing a gate electrode in a semiconductor device according to claim 1, further comprising a second silicon oxide film forming step of forming a silicon oxide film by an oxidation method using a silicon oxide film.
【請求項13】シリコン層の表面からシリコン原子が脱
離しない温度は、シリコン層表面を終端している原子と
シリコン原子との結合が切断されない温度であることを
特徴とする請求項12に記載の半導体装置におけるゲー
ト電極の作製方法。
13. A temperature according to claim 12, wherein the temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which the bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. A method for manufacturing a gate electrode in a semiconductor device according to the present invention.
【請求項14】シリコン層の表面からシリコン原子が脱
離しない温度は、Si−H結合が切断されない温度若し
くはSi−F結合が切断されない温度であることを特徴
とする請求項13に記載の半導体装置におけるゲート電
極の作製方法。
14. The semiconductor according to claim 13, wherein the temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which a Si—H bond is not broken or a temperature at which a Si—F bond is not broken. Method for manufacturing a gate electrode in an apparatus.
【請求項15】工程(A)及び/又は工程(B)におけ
る湿式ガスを用いた酸化法は、パイロジェニック酸化
法、純水の加熱により発生した水蒸気による酸化法、並
びに、酸素ガス又は不活性ガスによって加熱純水をバブ
リングすることで発生した水蒸気による酸化法の内の少
なくとも1種の酸化法であることを特徴とする請求項1
2に記載の半導体装置におけるゲート電極の作製方法。
15. The oxidation method using a wet gas in the step (A) and / or the step (B) includes a pyrogenic oxidation method, an oxidation method using steam generated by heating pure water, and an oxygen gas or an inert gas. 2. The method according to claim 1, wherein at least one oxidation method is selected from oxidation methods using steam generated by bubbling heated pure water with a gas.
3. A method for manufacturing a gate electrode in the semiconductor device according to 2.
【請求項16】工程(A)及び/又は工程(B)におけ
る湿式ガスにはハロゲン元素が含有されていることを特
徴とする請求項15に記載の半導体装置におけるゲート
電極の作製方法。
16. The method according to claim 15, wherein the wet gas in the step (A) and / or the step (B) contains a halogen element.
【請求項17】ハロゲン元素は塩素であることを特徴と
する請求項16に記載の半導体装置におけるゲート電極
の作製方法。
17. The method for manufacturing a gate electrode in a semiconductor device according to claim 16, wherein the halogen element is chlorine.
【請求項18】塩素は塩化水素の形態であり、湿式ガス
中に含有される塩化水素の濃度は0.02乃至10容量
%であることを特徴とする請求項17に記載の半導体装
置におけるゲート電極の作製方法。
18. The gate according to claim 17, wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the wet gas is 0.02 to 10% by volume. How to make electrodes.
【請求項19】工程(A)における雰囲気温度から工程
(B)における雰囲気温度まで雰囲気温度を昇温する昇
温工程を含み、該昇温工程における雰囲気は不活性ガス
雰囲気又は湿式ガスを含む酸化雰囲気であることを特徴
とする請求項12に記載の半導体装置におけるゲート電
極の作製方法。
19. A temperature raising step of raising the ambient temperature from the ambient temperature in the step (A) to the ambient temperature in the step (B), wherein the atmosphere in the temperature raising step is an oxidation containing an inert gas atmosphere or a wet gas. The method for manufacturing a gate electrode in a semiconductor device according to claim 12, wherein the atmosphere is an atmosphere.
【請求項20】不活性ガス雰囲気又は湿式ガスを含む酸
化雰囲気にはハロゲン元素が含有されていることを特徴
とする請求項19に記載の半導体装置におけるゲート電
極の作製方法。
20. The method according to claim 19, wherein the inert gas atmosphere or the oxidizing atmosphere containing a wet gas contains a halogen element.
【請求項21】ハロゲン元素は塩素であることを特徴と
する請求項20に記載の半導体装置におけるゲート電極
の作製方法。
21. The method according to claim 20, wherein the halogen element is chlorine.
【請求項22】塩素は塩化水素の形態であり、不活性ガ
ス雰囲気又は湿式ガス中に含有される塩化水素の濃度は
0.02乃至10容量%であることを特徴とする請求項
21に記載の半導体装置におけるゲート電極の作製方
法。
22. The method according to claim 21, wherein the chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas atmosphere or the wet gas is 0.02 to 10% by volume. A method for manufacturing a gate electrode in a semiconductor device according to the present invention.
【請求項23】工程(A)において、シリコン酸化膜を
形成する前の、シリコン層の表面からシリコン原子が脱
離しない温度に保持された雰囲気は、不活性ガス雰囲気
であることを特徴とする請求項12に記載の半導体装置
におけるゲート電極の作製方法。
23. An atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of a silicon layer before forming a silicon oxide film in the step (A) is an inert gas atmosphere. A method for manufacturing a gate electrode in the semiconductor device according to claim 12.
【請求項24】工程(イ)の前に、シリコン層表面を洗
浄する工程を含み、表面洗浄後のシリコン層を大気に曝
すことなく、工程(イ)を開始することを特徴とする請
求項1に記載の半導体装置におけるゲート電極の作製方
法。
24. The method according to claim 14, further comprising a step of cleaning the surface of the silicon layer before the step (a), wherein the step (a) is started without exposing the silicon layer after the surface cleaning to the atmosphere. 2. A method for manufacturing a gate electrode in the semiconductor device according to 1.
JP9112339A 1997-04-30 1997-04-30 Formation of gate electrode in semiconductor device Pending JPH10303310A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9112339A JPH10303310A (en) 1997-04-30 1997-04-30 Formation of gate electrode in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9112339A JPH10303310A (en) 1997-04-30 1997-04-30 Formation of gate electrode in semiconductor device

Publications (1)

Publication Number Publication Date
JPH10303310A true JPH10303310A (en) 1998-11-13

Family

ID=14584216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9112339A Pending JPH10303310A (en) 1997-04-30 1997-04-30 Formation of gate electrode in semiconductor device

Country Status (1)

Country Link
JP (1) JPH10303310A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152965A (en) * 2002-10-30 2004-05-27 Fujitsu Ltd Manufacturing method of semiconductor device and semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004152965A (en) * 2002-10-30 2004-05-27 Fujitsu Ltd Manufacturing method of semiconductor device and semiconductor device

Similar Documents

Publication Publication Date Title
JP3974547B2 (en) Semiconductor device and manufacturing method of semiconductor device
TWI604562B (en) Method of selective nitridation
JP2000332245A (en) MANUFACTURE OF SEMICONDUCTOR DEVICE AND MANUFACTURE OF p-TYPE SEMICONDUCTOR ELEMENT
JP4983025B2 (en) Manufacturing method of semiconductor device
JP2000332009A (en) Method of forming insulating film and manufacture of p-type semiconductor element
JP4403321B2 (en) Method for forming oxide film and method for manufacturing p-type semiconductor element
JPH11162970A (en) Method of formation of oxide film
JPH11204517A (en) Forming method of silicon oxide film and silicon oxide film forming equipment
JPH11186255A (en) Method of forming silicon oxide film
JP3588994B2 (en) Method of forming oxide film and method of manufacturing p-type semiconductor device
JP2000216156A (en) Formation of silicon nitride oxide film and manufacture of p type semiconductor element
JPH11297689A (en) Heat treatment of silicon insulating film and manufacture of semiconductor device
JP4007864B2 (en) Manufacturing method of semiconductor device
JP3757566B2 (en) Silicon oxide film forming method and oxide film forming apparatus
JP2000216241A (en) Manufacture of semiconductor device
JPH10303310A (en) Formation of gate electrode in semiconductor device
JPH11186248A (en) Silicon oxide film forming method and equipment
JPH11135492A (en) Method and device for forming silicon oxide film
JP2001127280A (en) Method for manufacturing semiconductor device and p- channel type semiconductor device
JP3800788B2 (en) Method for forming silicon oxide film
JP2000340670A (en) Insulating film and formation thereof
JP3952542B2 (en) Method for forming silicon oxide film
JP2000068266A (en) Method for forming oxide film
JP2000332005A (en) Plasma nitriding apparatus, formation of insulating film, and manufacture of p-type semiconductor element
JP2001217198A (en) Method for fabricating semiconductor device