JPH11135492A - Method and device for forming silicon oxide film - Google Patents

Method and device for forming silicon oxide film

Info

Publication number
JPH11135492A
JPH11135492A JP30152497A JP30152497A JPH11135492A JP H11135492 A JPH11135492 A JP H11135492A JP 30152497 A JP30152497 A JP 30152497A JP 30152497 A JP30152497 A JP 30152497A JP H11135492 A JPH11135492 A JP H11135492A
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
silicon
wet gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP30152497A
Other languages
Japanese (ja)
Inventor
Kazuhiko Tokunaga
和彦 徳永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP30152497A priority Critical patent/JPH11135492A/en
Publication of JPH11135492A publication Critical patent/JPH11135492A/en
Pending legal-status Critical Current

Links

Landscapes

  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method whereby generation of roughness in a surface of a silicon layer during formation of a silicon oxide film is prevented and a silicon oxide film which is excellent in property is formed without a dry oxide film in a surface of a silicon layer. SOLUTION: In a formation method of a silicon oxide film, a treatment chamber 10, a substrate carrying in/out part 25 provided to the treatment chamber 10, and a silicon oxide formation device with first and second wet gas generation devices 30B, 30A, are used. The method comprises process of (A) when a substrate 40 is carried into the treatment chamber 10 through the substrate carrying in/out part 25, wet gas is introduced to the substrate carrying in/out part 25, and a silicon oxide film is formed in a surface of a silicon layer by forming wet gas atmosphere of a temperature whereat silicon atom is not liberated from a surface of a silicon layer in the substrate carrying in/out part 25; and process of (B) where a silicon oxide film is further formed in a surface of a silicon layer by introducing wet gas to the treatment chamber 10 after the substrate 40 is carried inside the treatment chamber 10.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
におけるシリコン酸化膜の形成方法、及び、かかるシリ
コン酸化膜の形成方法の実施に適したシリコン酸化膜形
成装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a silicon oxide film in the manufacture of a semiconductor device and a silicon oxide film forming apparatus suitable for implementing the method for forming a silicon oxide film.

【0002】[0002]

【従来の技術】例えばMOS型半導体装置の製造におい
ては、シリコン酸化膜から成るゲート酸化膜をシリコン
半導体基板の表面に形成する必要がある。また、薄膜ト
ランジスタ(TFT)の製造においても、絶縁性基板の
上に設けられたシリコン層の表面にシリコン酸化膜から
成るゲート酸化膜を形成する必要がある。このようなシ
リコン酸化膜は、半導体装置の信頼性を担っているとい
っても過言ではない。従って、シリコン酸化膜には、常
に、高い絶縁破壊耐圧及び長期信頼性が要求される。
2. Description of the Related Art For example, in manufacturing a MOS type semiconductor device, it is necessary to form a gate oxide film made of a silicon oxide film on the surface of a silicon semiconductor substrate. Also, in manufacturing a thin film transistor (TFT), it is necessary to form a gate oxide film made of a silicon oxide film on the surface of a silicon layer provided on an insulating substrate. It is not an exaggeration to say that such a silicon oxide film is responsible for the reliability of the semiconductor device. Therefore, a silicon oxide film is always required to have high dielectric breakdown voltage and long-term reliability.

【0003】例えばMOS型半導体装置を製造する場
合、従来、ゲート酸化膜を成膜する前に、NH4OH/
22水溶液で洗浄し更にHCl/H22水溶液で洗浄
するというRCA洗浄によりシリコン半導体基板の表面
を洗浄し、その表面から微粒子や金属不純物を除去す
る。ところで、RCA洗浄を行うと、シリコン半導体基
板の表面は洗浄液と反応し、厚さ0.5〜1nm程度の
シリコン酸化膜(以下、かかるシリコン酸化膜を単に酸
化膜と呼ぶ)が形成される。かかる酸化膜の膜厚は不均
一であり、しかも、酸化膜中には洗浄液成分が残留す
る。そこで、フッ化水素酸水溶液にシリコン半導体基板
を浸漬して、かかる酸化膜を除去し、更に純水で薬液成
分を除去する。これによって、大部分が水素で終端さ
れ、極一部がフッ素で終端されたシリコン半導体基板の
表面を得ることができる。尚、このような工程によっ
て、大部分が水素で終端され、極一部がフッ素で終端さ
れたシリコン半導体基板の表面を得ることを、本明細書
では、シリコン半導体基板の表面を露出させると表現す
る。その後、かかるシリコン半導体基板をシリコン酸化
膜形成装置の処理室(酸化炉)に搬入して、シリコン半
導体基板の表面にシリコン酸化膜を形成する。
[0003] For example, in the case of manufacturing a MOS type semiconductor device, conventionally, NH 4 OH /
The surface of the silicon semiconductor substrate is cleaned by RCA cleaning in which the surface is washed with an H 2 O 2 aqueous solution and further washed with an HCl / H 2 O 2 aqueous solution, and fine particles and metal impurities are removed from the surface. When the RCA cleaning is performed, the surface of the silicon semiconductor substrate reacts with the cleaning liquid to form a silicon oxide film having a thickness of about 0.5 to 1 nm (hereinafter, such a silicon oxide film is simply referred to as an oxide film). The thickness of such an oxide film is not uniform, and the cleaning liquid component remains in the oxide film. Therefore, the silicon semiconductor substrate is immersed in a hydrofluoric acid aqueous solution to remove such an oxide film, and further, a chemical component is removed with pure water. Thereby, it is possible to obtain a surface of the silicon semiconductor substrate that is mostly terminated with hydrogen and extremely partially terminated with fluorine. In this specification, obtaining a surface of a silicon semiconductor substrate that is mostly terminated with hydrogen and a very small portion is terminated with fluorine is referred to as exposing the surface of the silicon semiconductor substrate in this specification. I do. Thereafter, the silicon semiconductor substrate is carried into a processing chamber (oxidizing furnace) of the silicon oxide film forming apparatus, and a silicon oxide film is formed on the surface of the silicon semiconductor substrate.

【0004】シリコン酸化膜形成装置としては、ゲート
酸化膜の薄膜化及び基板の大口径化に伴い、石英製の処
理室(酸化炉)を水平に保持した横型方式から垂直に保
持した縦型方式のシリコン酸化膜形成装置への移行が進
んでいる。これは、縦型方式のシリコン酸化膜形成装置
の方が、横型方式のシリコン酸化膜形成装置よりも、基
板の大口径化に対処し易いばかりか、シリコン半導体基
板を処理室に搬入する際の大気の巻き込みによって生成
するシリコン酸化膜(以下、かかるシリコン酸化膜を自
然酸化膜と呼ぶ)を低減することができるからである。
しかしながら、縦型方式のシリコン酸化膜形成装置を用
いる場合であっても、2nm厚程度の自然酸化膜がシリ
コン半導体基板の表面に形成されてしまう。自然酸化膜
には大気中の不純物が多く含まれており、ゲート酸化膜
の薄膜化においては自然酸化膜の存在を無視することが
できない。そのため、(1)シリコン酸化膜形成装置に
配設されたパージ室に大量の窒素ガスを流して窒素ガス
雰囲気とする方法(窒素ガスパージ方式)、(2)一
旦、パージ室内を真空とした後、窒素ガス等でパージ室
内を置換して大気を排除する方法(真空ロードロック方
式)等を採用し、出来る限り自然酸化膜の形成を抑制す
る方法が提案されている。
[0004] As the silicon oxide film forming apparatus, as the gate oxide film becomes thinner and the substrate becomes larger in diameter, a quartz-type processing chamber (oxidizing furnace) is held horizontally and a vertical method is held vertically. Are shifting to silicon oxide film forming apparatuses. This is because the vertical type silicon oxide film forming apparatus is easier to cope with the enlargement of the substrate diameter than the horizontal type silicon oxide film forming apparatus, and also when the silicon semiconductor substrate is carried into the processing chamber. This is because a silicon oxide film generated by entrainment in the atmosphere (hereinafter, such a silicon oxide film is referred to as a natural oxide film) can be reduced.
However, even when a vertical silicon oxide film forming apparatus is used, a natural oxide film having a thickness of about 2 nm is formed on the surface of the silicon semiconductor substrate. The natural oxide film contains a large amount of impurities in the atmosphere, and the existence of the natural oxide film cannot be ignored in thinning the gate oxide film. Therefore, (1) a method in which a large amount of nitrogen gas is caused to flow into a purge chamber provided in a silicon oxide film forming apparatus to form a nitrogen gas atmosphere (nitrogen gas purge method), and (2) once the purge chamber is evacuated, A method has been proposed in which a purge chamber is replaced with nitrogen gas or the like to remove the atmosphere (vacuum load lock method) or the like, and the formation of a natural oxide film is suppressed as much as possible.

【0005】そして、処理室(酸化炉)内を不活性ガス
雰囲気とした状態で、シリコン半導体基板を処理室(酸
化炉)に搬入し、次いで、処理室(酸化炉)内を酸化性
雰囲気に切り替え、シリコン半導体基板を熱処理するこ
とでゲート酸化膜を形成する。ゲート酸化膜の形成に
は、高温に保持された処理室内に高純度の水蒸気を導入
することによってシリコン半導体基板の表面を熱酸化す
る方法(湿式酸化法)が採用されており、高純度の乾燥
酸素ガスによってシリコン半導体基板表面を酸化する方
法(乾式酸化法)よりも、電気的信頼性の高いゲート酸
化膜を形成することができる。この湿式酸化法の1つ
に、水素ガスを酸素ガスと高温で混合し、燃焼させるこ
とによって生成した水蒸気を用いるパイロジェニック酸
化法(水素燃焼酸化法とも呼ばれる)があり、多く採用
されている。通常、このパイロジェニック酸化法におい
ては、処理室(酸化炉)の外部に設けられ、そして70
0〜900゜Cに保持された燃焼室内に酸素ガスを供給
し、その後、燃焼室内に水素ガスを供給して、高温中で
水素ガスを燃焼させる。これによって得られた水蒸気を
酸化種として用いる。
[0005] Then, the silicon semiconductor substrate is carried into the processing chamber (oxidizing furnace) with the processing chamber (oxidizing furnace) in an inert gas atmosphere, and then the processing chamber (oxidizing furnace) is oxidized. The gate oxide film is formed by switching and heat-treating the silicon semiconductor substrate. A method of thermally oxidizing the surface of a silicon semiconductor substrate by introducing high-purity water vapor into a processing chamber maintained at a high temperature (wet oxidation method) is used for forming a gate oxide film. A gate oxide film with higher electrical reliability can be formed than a method of oxidizing the surface of a silicon semiconductor substrate with oxygen gas (dry oxidation method). As one of the wet oxidation methods, there is a pyrogenic oxidation method (also referred to as a hydrogen combustion oxidation method) using water vapor generated by mixing hydrogen gas with oxygen gas at a high temperature and burning the mixture. Usually, in this pyrogenic oxidation method, the pyrogen oxidation method is provided outside a processing chamber (oxidizing furnace), and
Oxygen gas is supplied into the combustion chamber maintained at 0 to 900 ° C., and then hydrogen gas is supplied into the combustion chamber to burn the hydrogen gas at a high temperature. The water vapor thus obtained is used as an oxidizing species.

【0006】パイロジェニック酸化法によってシリコン
酸化膜を形成するための従来のシリコン酸化膜形成装置
の模式的な断面図を図9に示す。この縦型方式のシリコ
ン酸化膜形成装置は、垂直方向に保持された石英製の二
重管構造の処理室10と、処理室10へ水蒸気等を導入
するためのガス導入部12と、処理室10からガスを排
気するガス排気部13と、SiCから成る円筒状の均熱
管16を介して処理室10内を所定の雰囲気温度に保持
するためのヒータ14と、パージ室20と、パージ室2
0へ窒素ガスを導入するためのガス導入部21と、パー
ジ室20からガスを排気するガス排気部22と、処理室
10とパージ室20とを仕切るシャッター15と、シリ
コン半導体基板を処理室10内に搬入出するためのエレ
ベータ機構23から構成されている。エレベータ機構2
3には、シリコン半導体基板を載置するための石英ボー
ト24が取り付けられている。また、配管31,32を
介して燃焼室30に供給された水素ガスと酸素ガスとを
燃焼室30内で高温にて混合し、水素ガスを燃焼させる
ことによって水蒸気を生成させる。かかる水蒸気は、配
管33、ガス流路11及びガス導入部12を介して処理
室10内に導入される。尚、ガス流路11は、二重管構
造の処理室10の内壁及び外壁の間の空間に相当する。
FIG. 9 is a schematic cross-sectional view of a conventional silicon oxide film forming apparatus for forming a silicon oxide film by a pyrogenic oxidation method. The vertical type silicon oxide film forming apparatus includes a processing chamber 10 having a double tube structure made of quartz and held in a vertical direction, a gas introduction unit 12 for introducing water vapor or the like into the processing chamber 10, and a processing chamber. A gas exhaust unit 13 for exhausting gas from the heater 10, a heater 14 for maintaining the inside of the processing chamber 10 at a predetermined atmospheric temperature through a cylindrical heat equalizing pipe 16 made of SiC, a purge chamber 20, and a purge chamber 2.
A gas introduction unit 21 for introducing nitrogen gas into the gas chamber 0, a gas exhaust unit 22 for exhausting gas from the purge chamber 20, a shutter 15 for separating the processing chamber 10 from the purge chamber 20, and a silicon semiconductor substrate. It comprises an elevator mechanism 23 for carrying in and out. Elevator mechanism 2
A quartz boat 24 for mounting a silicon semiconductor substrate is attached to 3. Further, the hydrogen gas and the oxygen gas supplied to the combustion chamber 30 via the pipes 31 and 32 are mixed at a high temperature in the combustion chamber 30, and the hydrogen gas is burned to generate steam. The water vapor is introduced into the processing chamber 10 through the pipe 33, the gas flow path 11, and the gas introduction unit 12. The gas flow path 11 corresponds to a space between the inner wall and the outer wall of the processing chamber 10 having a double pipe structure.

【0007】図9に示した縦型方式のシリコン酸化膜形
成装置を使用した、パイロジェニック酸化法に基づく従
来のシリコン酸化膜の形成方法の概要を、図9、図10
〜図12を参照して、以下、説明する。
FIGS. 9 and 10 show an outline of a conventional silicon oxide film forming method based on a pyrogenic oxidation method using the vertical type silicon oxide film forming apparatus shown in FIG.
This will be described below with reference to FIGS.

【0008】[工程−10]配管32、燃焼室30、配
管33、ガス流路11及びガス導入部12を介して処理
室10へ窒素ガスを導入し、処理室10内を窒素ガス雰
囲気とし、且つ、均熱管16を介してヒータ14によっ
て処理室10内の雰囲気温度を700〜800゜Cに保
持する。尚、この状態においては、シャッター15は閉
じておく(図10の(A)参照)。パージ室20は大気
に解放された状態である。
[Step-10] Nitrogen gas is introduced into the processing chamber 10 through the pipe 32, the combustion chamber 30, the pipe 33, the gas flow path 11 and the gas introduction unit 12, and the inside of the processing chamber 10 is set to a nitrogen gas atmosphere. Further, the atmosphere temperature in the processing chamber 10 is maintained at 700 to 800 ° C. by the heater 14 via the soaking tube 16. In this state, the shutter 15 is closed (see FIG. 10A). The purge chamber 20 is open to the atmosphere.

【0009】[工程−20]そして、パージ室20にシ
リコン半導体基板40を搬入し、石英ボート24にシリ
コン半導体基板40を載置する。パージ室20へのシリ
コン半導体基板40の搬入が完了した後、図示しない扉
を閉め、パージ室20にガス導入部21から窒素ガスを
導入し、ガス排気部22から排出し、パージ室20内を
窒素ガス雰囲気とする(図10の(B)参照)。
[Step-20] Then, the silicon semiconductor substrate 40 is carried into the purge chamber 20, and the silicon semiconductor substrate 40 is placed on the quartz boat 24. After the loading of the silicon semiconductor substrate 40 into the purge chamber 20 is completed, a door (not shown) is closed, nitrogen gas is introduced into the purge chamber 20 from the gas introduction unit 21, discharged from the gas exhaust unit 22, and the inside of the purge chamber 20 is purged. A nitrogen gas atmosphere is used (see FIG. 10B).

【0010】[工程−30]パージ室20内が十分に窒
素ガス雰囲気となった時点で、シャッター15を開き
(図11の(B)参照)、エレベータ機構23を作動さ
せて石英ボート24を上昇させ、シリコン半導体基板4
0を処理室10内に搬入する(図12の(A)参照)。
エレベータ機構23が最上昇位置に辿り着いた後、シャ
ッター15を閉じる。
[Step-30] When the inside of the purge chamber 20 becomes a sufficient nitrogen gas atmosphere, the shutter 15 is opened (see FIG. 11B), the elevator mechanism 23 is operated, and the quartz boat 24 is raised. The silicon semiconductor substrate 4
0 is carried into the processing chamber 10 (see FIG. 12A).
After the elevator mechanism 23 reaches the highest position, the shutter 15 is closed.

【0011】シャッター15を開く前に、処理室10内
を窒素ガス雰囲気のままにしておくと、以下の問題が生
じる。即ち、フッ化水素酸水溶液で表面を露出させたシ
リコン半導体基板を高温の窒素ガス雰囲気中に搬入する
と、シリコン半導体基板40の表面に荒れが生じる。こ
の現象は、フッ化水素酸水溶液での洗浄によってシリコ
ン半導体基板40の表面に形成された一部のSi−H結
合や一部のSi−F結合が、水素やフッ素の昇温脱離に
よって失われ、シリコン半導体基板40の表面にエッチ
ング現象が生じることに起因すると考えられている。例
えば、アルゴンガス中でシリコン半導体基板を600゜
C以上に昇温するとシリコン半導体基板の表面に激しい
凹凸が生じることが、培風館発行、大見忠弘著「ウルト
ラクリーンULSI技術」、第21頁に記載されてい
る。このような現象を抑制するために、シャッター15
を開く前に、例えば、0.5容量%程度の酸素ガスを含
んだ窒素ガスをガス導入部12から処理室10内に導入
し、処理室10内を0.5容量%程度の酸素ガスを含ん
だ窒素ガス雰囲気とする(図11の(A)参照)。
If the processing chamber 10 is left in a nitrogen gas atmosphere before the shutter 15 is opened, the following problems occur. That is, when the silicon semiconductor substrate whose surface is exposed with the hydrofluoric acid aqueous solution is carried into a high-temperature nitrogen gas atmosphere, the surface of the silicon semiconductor substrate 40 becomes rough. This phenomenon occurs because part of the Si—H bond and part of the Si—F bond formed on the surface of the silicon semiconductor substrate 40 by the cleaning with the hydrofluoric acid aqueous solution are lost due to thermal desorption of hydrogen or fluorine. This is considered to be caused by an etching phenomenon occurring on the surface of the silicon semiconductor substrate 40. For example, when the temperature of a silicon semiconductor substrate is raised to 600 ° C. or more in argon gas, severe irregularities may occur on the surface of the silicon semiconductor substrate, as described in Baifukan, Tadahiro Omi, “Ultra Clean ULSI Technology”, page 21. Have been. In order to suppress such a phenomenon, the shutter 15
Before opening the chamber, for example, a nitrogen gas containing about 0.5% by volume of oxygen gas is introduced into the processing chamber 10 from the gas introduction unit 12, and about 0.5% by volume of oxygen gas is A nitrogen gas atmosphere is used (see FIG. 11A).

【0012】[工程−40]その後、処理室10内の雰
囲気温度を800〜900゜Cとする。そして、配管3
1,32を介して燃焼室30内に酸素ガス及び水素ガス
を供給し、水素ガスを酸素ガスと燃焼室30内で高温に
て混合し、燃焼させることによって生成した水蒸気を、
配管33、ガス流路11及びガス導入部12を介して処
理室10へ導入し、ガス排気部13から排気する(図1
2の(B)参照)。これによって、シリコン半導体基板
40の表面にシリコン酸化膜が形成される。ここで、水
蒸気を処理室10へ導入する前に不完全燃焼した水素ガ
スが処理室10内に流入することによって爆鳴気反応が
生じることを防止するために、配管32から燃焼室30
に水素ガスを供給する前に、配管31を介して燃焼室3
0に酸素ガスを供給する。尚、燃焼室30内の温度を、
例えばヒータ(図示せず)によって700〜900゜C
に保持する。
[Step-40] Thereafter, the atmosphere temperature in the processing chamber 10 is set to 800 to 900 ° C. And piping 3
Oxygen gas and hydrogen gas are supplied into the combustion chamber 30 via the first and 32, and the water vapor generated by mixing and burning the hydrogen gas and the oxygen gas at a high temperature in the combustion chamber 30 is
The gas is introduced into the processing chamber 10 through the pipe 33, the gas flow path 11, and the gas introduction unit 12, and is exhausted from the gas exhaust unit 13 (FIG. 1).
2 (B)). Thus, a silicon oxide film is formed on the surface of the silicon semiconductor substrate 40. Here, in order to prevent the detonation reaction from occurring due to the incompletely burned hydrogen gas flowing into the processing chamber 10 before the steam is introduced into the processing chamber 10, the combustion chamber 30
Before supplying hydrogen gas to the combustion chamber 3 through the pipe 31
0 is supplied with oxygen gas. The temperature in the combustion chamber 30 is
For example, 700-900 ° C by a heater (not shown)
To hold.

【0013】[0013]

【発明が解決しようとする課題】シャッター15を開く
前に、0.5容量%程度の酸素ガスを含んだ窒素ガスを
ガス導入部12から処理室10内に導入し、処理室10
内を0.5容量%程度の酸素ガスを含んだ窒素ガス雰囲
気とすることによって(図11の(A)参照)、シリコ
ン半導体基板の表面に凹凸が形成される現象を抑制する
ことができる。あるいは又、培風館発行、大見忠弘著
「ウルトラクリーンULSI技術」、第21頁には、水
素終端したシリコン半導体基板を、終端水素が安定に存
在する300゜Cで乾式酸化を行い、これによって形成
されたシリコン酸化膜を保護膜とすれば、シリコン半導
体基板の表面に凹凸が形成される問題を回避できると報
告されている。
Before the shutter 15 is opened, a nitrogen gas containing about 0.5% by volume of oxygen gas is introduced into the processing chamber 10 from the gas introducing section 12, and the processing chamber 10 is opened.
By setting the inside of the inside to a nitrogen gas atmosphere containing about 0.5% by volume of oxygen gas (see FIG. 11A), a phenomenon in which unevenness is formed on the surface of the silicon semiconductor substrate can be suppressed. Alternatively, "Ultra Clean ULSI Technology", published by Baifukan and written by Tadahiro Omi, page 21, states that a hydrogen-terminated silicon semiconductor substrate is subjected to dry oxidation at 300 ° C. where terminal hydrogen is stably present and formed by this method. It has been reported that the problem of forming irregularities on the surface of a silicon semiconductor substrate can be avoided by using the formed silicon oxide film as a protective film.

【0014】しかしながら、シリコン半導体基板の表面
に凹凸が形成される現象を抑制するために処理室10内
に酸素ガスを含んだ窒素ガスが導入されるが故に、処理
室10内に搬入されたシリコン半導体基板の表面にシリ
コン酸化膜が形成される。かかるシリコン酸化膜は、本
質的には、所謂、乾式酸化によって形成されたシリコン
酸化膜(ドライ酸化膜と呼ぶ)であり、湿式酸化法にて
形成されたシリコン酸化膜(ウェット酸化膜と呼ぶ)よ
りも特性が劣る。例えば、処理室10内を800゜Cに
保持し、0.5容量%の酸素ガスを含んだ窒素ガスをガ
ス導入部12から処理室10内に導入した状態でシリコ
ン半導体基板を処理室10内に搬入すると、シリコン半
導体基板の表面には2nm以上のドライ酸化膜が形成さ
れる。ゲート長0.18〜0.13μmの半導体装置に
おいては、4〜3nm厚さのゲート酸化膜が用いられる
ことが予想されている。このように、例えば4nm厚さ
のゲート酸化膜を形成しようとした場合、厚さの5割以
上がドライ酸化膜で占められることになる。
However, since nitrogen gas containing oxygen gas is introduced into the processing chamber 10 in order to suppress the phenomenon that unevenness is formed on the surface of the silicon semiconductor substrate, the silicon carried into the processing chamber 10 is not introduced. A silicon oxide film is formed on the surface of the semiconductor substrate. Such a silicon oxide film is essentially a silicon oxide film formed by so-called dry oxidation (called a dry oxide film), and a silicon oxide film formed by a wet oxidation method (called a wet oxide film). Inferior in characteristics. For example, the inside of the processing chamber 10 is maintained at 800 ° C., and a silicon semiconductor substrate is placed in the processing chamber 10 while a nitrogen gas containing 0.5% by volume of oxygen gas is introduced into the processing chamber 10 from the gas introduction unit 12. Then, a dry oxide film of 2 nm or more is formed on the surface of the silicon semiconductor substrate. In a semiconductor device having a gate length of 0.18 to 0.13 μm, it is expected that a gate oxide film having a thickness of 4 to 3 nm will be used. Thus, for example, when an attempt is made to form a gate oxide film having a thickness of 4 nm, 50% or more of the thickness is occupied by the dry oxide film.

【0015】このような問題を解決する手段が、特開平
6−291112号公報に開示されている。即ち、フッ
化水素酸水溶液でシリコン半導体基板を洗浄した後、過
酸化水素水にシリコン半導体基板を浸漬することによっ
てシリコン半導体基板の表面に保護膜としてのシリコン
酸化膜を形成する技術が、この特許公開公報には開示さ
れている。しかしながら、この方法では、過酸化水素水
の濃度制御等によってシリコン半導体基板の表面に均一
なシリコン酸化膜を再現性良く形成することは困難であ
る。また、過酸化水素水中の不純物がシリコン酸化膜中
に取り込まれるという問題もある。
A means for solving such a problem is disclosed in Japanese Patent Application Laid-Open No. 6-291112. That is, this patent discloses a technique in which a silicon semiconductor substrate is washed with an aqueous solution of hydrofluoric acid, and then the silicon semiconductor substrate is immersed in a hydrogen peroxide solution to form a silicon oxide film as a protective film on the surface of the silicon semiconductor substrate. It is disclosed in the publication. However, in this method, it is difficult to form a uniform silicon oxide film on the surface of the silicon semiconductor substrate with good reproducibility by controlling the concentration of hydrogen peroxide solution or the like. There is also a problem that impurities in the hydrogen peroxide solution are taken into the silicon oxide film.

【0016】長期安定性に優れ、絶縁耐圧が高く、且つ
膜厚の薄いシリコン酸化膜を形成する方法が、例えば、
特開平6−318588号公報に開示されている。この
方法は、シリコン半導体の表面に熱酸化法により極薄熱
酸化シリコン膜を形成した後、この極薄熱酸化シリコン
膜上に気相成長法(CVD法)によりシリコン酸化膜を
堆積させ、次いで、酸化雰囲気中で熱処理を行う方法で
ある。この方法は、気相成長法(CVD法)によりシリ
コン酸化膜を堆積させるので、シリコン酸化膜の形成プ
ロセスが複雑になるという問題を有する。
A method of forming a silicon oxide film having excellent long-term stability, high withstand voltage and a small thickness is described in, for example,
It is disclosed in JP-A-6-318588. In this method, an ultrathin thermal oxide film is formed on the surface of a silicon semiconductor by a thermal oxidation method, and then a silicon oxide film is deposited on the ultrathin thermal oxide film by a vapor deposition method (CVD method). Heat treatment in an oxidizing atmosphere. In this method, since a silicon oxide film is deposited by a vapor phase growth method (CVD method), there is a problem that a process of forming the silicon oxide film is complicated.

【0017】尚、以上の問題は、シリコン半導体基板の
表面において生じるだけでなく、絶縁性基板や絶縁層等
の上に設けられたシリコン層の表面においても生じる問
題である。
The above problem occurs not only on the surface of a silicon semiconductor substrate but also on the surface of a silicon layer provided on an insulating substrate or an insulating layer.

【0018】従って、本発明の目的は、シリコン層の表
面にシリコン酸化膜を形成する際のシリコン層の表面に
荒れ(凹凸)が発生することを防止でき、且つ、シリコ
ン層の表面にドライ酸化膜を形成することなく、特性の
優れたシリコン酸化膜を形成することができ、しかも、
シリコン酸化膜の形成時間が従来のシリコン酸化膜形成
方法と比較して左程延長されることのないシリコン酸化
膜の形成方法、及び、かかるシリコン酸化膜の形成方法
の実施に適したシリコン酸化膜形成装置を提供すること
にある。
Accordingly, it is an object of the present invention to prevent the surface of the silicon layer from being roughened (irregular) when forming a silicon oxide film on the surface of the silicon layer, and to dry oxidize the surface of the silicon layer. A silicon oxide film with excellent characteristics can be formed without forming a film, and
A method for forming a silicon oxide film in which the time for forming a silicon oxide film is not prolonged to the left as compared with a conventional method for forming a silicon oxide film, and a silicon oxide film suitable for performing such a method for forming a silicon oxide film An object of the present invention is to provide a forming apparatus.

【0019】[0019]

【課題を解決するための手段】上記の目的を達成するた
めの本発明のシリコン酸化膜形成装置は、(イ)シリコ
ン層の表面にシリコン酸化膜を形成するための処理室、
(ロ)シリコン層を有する基体を該処理室に搬入出する
ために、該処理室に設けられた基体搬入出部、(ハ)該
基体搬入出部に接続され、基体搬入出部を湿式ガス雰囲
気とするための第1の湿式ガス生成装置、及び、(ニ)
該処理室に接続され、処理室内を湿式ガス雰囲気とする
ための第2の湿式ガス生成装置、を備えていることを特
徴とする。
According to the present invention, there is provided an apparatus for forming a silicon oxide film, comprising: (a) a processing chamber for forming a silicon oxide film on a surface of a silicon layer;
(B) a substrate loading / unloading section provided in the processing chamber for loading / unloading a substrate having a silicon layer into / from the processing chamber; and (c) a wet gas connected to the substrate loading / unloading section. A first wet gas generator for producing an atmosphere, and (d)
A second wet gas generator connected to the processing chamber and configured to bring the processing chamber into a wet gas atmosphere.

【0020】本発明のシリコン酸化膜形成装置における
処理室においては、各基体を水平に保持し、且つ、複数
の基体を垂直方法に配置した状態で、各基体におけるシ
リコン層の表面にシリコン酸化膜を形成することが好ま
しい。また、基体搬入出部は処理室の下部に設けられて
おり、基体は垂直方向に移動させられ、基体搬入出部を
経由して処理室に搬入出され、基体搬入出部には、第1
の湿式ガス生成装置に接続された湿式ガス導入部と、該
湿式ガス導入部と水平方向に概ね対向した湿式ガス排気
部が設けられていることが好ましい。更には、基体搬入
出部には不活性ガス導入部及び不活性ガス排気部が設け
られており、不活性ガス導入部は、湿式ガス導入部の上
方及び下方に設けられており、不活性ガス排気部は、こ
れらの不活性ガス導入部と水平方向に概ね対向して配設
されていることが好ましい。このような構造にすること
によって、基体搬入出部における水平方向の湿式ガスの
流れが不活性ガスの水平方向の流れに挟まれる状態とな
り、シリコン層におけるシリコン酸化膜の面内膜厚均一
性、面間膜厚均一性の向上が図れると共に、第1のシリ
コン酸化膜形成工程において湿式ガスが基体搬入出部以
外の領域に流出することを防ぐことができる。
In the processing chamber of the silicon oxide film forming apparatus of the present invention, the silicon oxide film is formed on the surface of the silicon layer on each substrate while each substrate is held horizontally and a plurality of substrates are arranged vertically. Is preferably formed. The substrate loading / unloading section is provided at a lower portion of the processing chamber. The substrate is moved in the vertical direction, and is loaded / unloaded into the processing chamber via the substrate loading / unloading section.
It is preferable to provide a wet gas introduction unit connected to the wet gas generation device of the above, and a wet gas exhaust unit substantially opposed to the wet gas introduction unit in the horizontal direction. Further, an inert gas introduction section and an inert gas exhaust section are provided in the substrate carry-in / out section, and the inert gas introduction section is provided above and below the wet gas introduction section. It is preferable that the exhaust unit is disposed substantially facing the inert gas introduction unit in the horizontal direction. With such a structure, the flow of the wet gas in the horizontal direction in the substrate loading / unloading section is sandwiched between the flows of the inert gas in the horizontal direction, and the in-plane film thickness uniformity of the silicon oxide film in the silicon layer is improved. The uniformity of the inter-plane film thickness can be improved, and the wet gas can be prevented from flowing out of the region other than the substrate loading / unloading section in the first silicon oxide film forming step.

【0021】本発明のシリコン酸化膜形成装置における
処理室においては、基体搬入出部を経由して処理室に基
体が搬入されるとき、第1の湿式ガス生成装置にて生成
した湿式ガスを基体搬入出部に導入して基体搬入出部を
湿式ガス雰囲気とし、以て、シリコン層の表面にシリコ
ン酸化膜を形成することが好ましい。この場合、基体搬
入出部における湿式ガス雰囲気の温度を、シリコン層の
表面からシリコン原子が脱離しない温度とすることが望
ましい。
In the processing chamber of the silicon oxide film forming apparatus according to the present invention, when the substrate is carried into the processing chamber via the substrate carrying-in / out portion, the wet gas generated by the first wet gas generator is used for the substrate. It is preferable that the substrate is brought into and taken out of the loading / unloading section and the base loading / unloading section is set in a wet gas atmosphere, and a silicon oxide film is formed on the surface of the silicon layer. In this case, it is desirable that the temperature of the wet gas atmosphere in the substrate carry-in / out portion be a temperature at which silicon atoms do not desorb from the surface of the silicon layer.

【0022】上記の目的を達成するための本発明のシリ
コン酸化膜の形成方法は、(イ)シリコン層の表面にシ
リコン酸化膜を形成するための処理室、(ロ)シリコン
層を有する基体を該処理室に搬入出するために、該処理
室に設けられた基体搬入出部、(ハ)該基体搬入出部に
接続され、基体搬入出部を湿式ガス雰囲気とするための
第1の湿式ガス生成装置、及び、(ニ)該処理室に接続
され、処理室内を湿式ガス雰囲気とするための第2の湿
式ガス生成装置、を備えたシリコン酸化膜形成装置を用
いたシリコン酸化膜の形成方法であって、(A)基体搬
入出部を経由して処理室に基体を搬入するとき、第1の
湿式ガス生成装置にて生成した湿式ガスを基体搬入出部
に導入して基体搬入出部を湿式ガス雰囲気とし、且つ、
湿式ガス雰囲気の温度をシリコン層の表面からシリコン
原子が脱離しない温度とした状態で、シリコン層の表面
にシリコン酸化膜を形成する第1のシリコン酸化膜形成
工程と、(B)基体を処理室内に搬入した後、第2の湿
式ガス生成装置にて生成した湿式ガスを処理室に導入し
て処理室を湿式ガス雰囲気とすることによって、シリコ
ン層の表面にシリコン酸化膜を更に形成する第2のシリ
コン酸化膜形成工程、から成ることを特徴とする。
In order to achieve the above object, the method for forming a silicon oxide film according to the present invention comprises: (a) a treatment chamber for forming a silicon oxide film on the surface of a silicon layer; and (b) a substrate having a silicon layer. A substrate loading / unloading section provided in the processing chamber for loading and unloading the substrate into and out of the processing chamber; and (c) a first wet process connected to the substrate loading / unloading section for setting the substrate loading / unloading section to a wet gas atmosphere. Formation of a silicon oxide film using a silicon oxide film forming apparatus provided with a gas generator and (d) a second wet gas generator connected to the processing chamber and having a wet gas atmosphere in the processing chamber. (A) when a substrate is carried into a processing chamber via a substrate carry-in / out section, a wet gas generated by the first wet gas generator is introduced into the substrate carry-in / out section to carry in / out the substrate. Part is a wet gas atmosphere, and
A first silicon oxide film forming step of forming a silicon oxide film on the surface of the silicon layer while keeping the temperature of the wet gas atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer; and (B) treating the substrate After being carried into the room, the wet gas generated by the second wet gas generator is introduced into the processing chamber to make the processing chamber a wet gas atmosphere, thereby further forming a silicon oxide film on the surface of the silicon layer. 2) forming a silicon oxide film.

【0023】本発明のシリコン酸化膜の形成方法におい
て、シリコン層の表面からシリコン原子が脱離しない雰
囲気温度は、シリコン層表面を終端している原子とシリ
コン原子との結合が切断されない温度であることが好ま
しい。更には、シリコン層の表面からシリコン原子が脱
離しない温度は、シリコン層表面のSi−H結合が切断
されない温度、若しくは、シリコン層表面のSi−F結
合が切断されない温度であることが望ましい。シリコン
層を有する基体として面方位が(100)のシリコン半
導体基板を用いる場合、シリコン半導体基板の表面にお
ける水素原子の大半がシリコン原子の2本の結合手のそ
れぞれに1つずつ結合しており、H−Si−Hの終端構
造を有する。然るに、シリコン半導体基板の表面状態が
崩れた部分(例えばステップ形成箇所)には、シリコン
原子の1本の結合手のみに水素原子が結合した状態の終
端構造、あるいは、シリコン原子の3本の結合手のそれ
ぞれに水素原子が結合した状態の終端構造が存在する。
尚、通常、シリコン原子の残りの結合手は結晶内部のシ
リコン原子と結合している。本明細書における「Si−
H結合」という表現には、シリコン原子の2本の結合手
のそれぞれに水素原子が結合した状態の終端構造、シリ
コン原子の1本の結合手のみに水素原子が結合した状態
の終端構造、あるいは、シリコン原子の3本の結合手の
それぞれに水素原子が結合した状態の終端構造の全てが
包含される。第1のシリコン酸化膜形成工程における湿
式ガス雰囲気温度は、より具体的には、湿式ガスがシリ
コン層上で結露しない温度以上、好ましくは150゜C
以上とし、一方、500゜C以下、好ましくは450゜
C以下、より好ましくは400゜C以下、一層好ましく
は350゜C以下とすることが、スループットの面から
望ましい。
In the method of forming a silicon oxide film according to the present invention, the ambient temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which the bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. Is preferred. Further, the temperature at which silicon atoms do not desorb from the surface of the silicon layer is preferably a temperature at which Si—H bonds on the surface of the silicon layer are not broken or a temperature at which Si—F bonds on the surface of the silicon layer are not broken. When a silicon semiconductor substrate having a (100) plane orientation is used as the base having the silicon layer, most of the hydrogen atoms on the surface of the silicon semiconductor substrate are bonded one by one to two bonds of silicon atoms, It has a terminal structure of H-Si-H. However, a portion where the surface state of the silicon semiconductor substrate is broken (for example, a step formation portion) has a terminal structure in which a hydrogen atom is bonded to only one bond of a silicon atom, or a three-bonded silicon atom. There is a terminal structure in which a hydrogen atom is bonded to each of the hands.
Usually, the remaining bonds of silicon atoms are bonded to silicon atoms inside the crystal. In the present specification, "Si-
The term "H bond" refers to a terminal structure in which a hydrogen atom is bonded to each of two bonds of a silicon atom, a terminal structure in which a hydrogen atom is bonded to only one bond of a silicon atom, or And all the terminal structures in which a hydrogen atom is bonded to each of three bonding hands of a silicon atom. The temperature of the wet gas atmosphere in the first silicon oxide film forming step is more specifically a temperature at which the wet gas does not condense on the silicon layer, preferably 150 ° C.
On the other hand, on the other hand, the temperature is preferably 500 ° C. or less, preferably 450 ° C. or less, more preferably 400 ° C. or less, and still more preferably 350 ° C. or less from the viewpoint of throughput.

【0024】本発明のシリコン酸化膜の形成方法におい
て、第2のシリコン酸化膜形成工程における雰囲気温度
は、第1のシリコン酸化膜形成工程における雰囲気温度
よりも高いことが好ましい。第2のシリコン酸化膜形成
工程における雰囲気温度は、600乃至1200゜C、
好ましくは700乃至1000゜C、更に好ましくは7
50乃至900゜Cであることが望ましいが、このよう
な値に限定するものではない。
In the method for forming a silicon oxide film according to the present invention, the ambient temperature in the second silicon oxide film forming step is preferably higher than the ambient temperature in the first silicon oxide film forming step. The ambient temperature in the second silicon oxide film forming step is 600 to 1200 ° C.
Preferably 700-1000 ° C., more preferably 7
It is desirable that the temperature be 50 to 900 ° C., but it is not limited to such a value.

【0025】また、第1の湿式ガス生成装置及び/又は
第2の湿式ガス生成装置における湿式ガスの生成法は、
水素ガス燃焼法(パイロジェニック法)、純水の加熱に
よって水蒸気を生成する方法、並びに、酸素ガス又は不
活性ガスによって加熱純水をバブリングすることで水蒸
気を生成する方法の少なくとも1種の生成法であること
が好ましい。湿式ガスを用いた酸化法によってシリコン
酸化膜を形成するので、優れた経時絶縁破壊(TDD
B)特性を有するシリコン酸化膜を得ることができる。
尚、第1のシリコン酸化膜形成工程と第2のシリコン酸
化膜形成工程とで、同じ湿式ガスの生成法を採用しても
よいし、異なる湿式ガスの生成法を採用してもよい。第
1のシリコン酸化膜形成工程、第2のシリコン酸化膜形
成工程、又は、第1のシリコン酸化膜形成工程及び第2
のシリコン酸化膜形成工程における湿式ガスは、窒素ガ
ス、アルゴンガス、ヘリウムガス等の不活性ガスで希釈
されていてもよい。これによって、シリコン層表面に急
激な酸化が生じることを抑制することができ、面内膜厚
均一性、面間膜厚均一性の向上を図ることができる。
Further, the method of generating a wet gas in the first wet gas generator and / or the second wet gas generator is as follows.
At least one of a hydrogen gas combustion method (pyrogenic method), a method of producing steam by heating pure water, and a method of producing steam by bubbling heated pure water with oxygen gas or an inert gas. It is preferred that Since the silicon oxide film is formed by an oxidation method using a wet gas, excellent time-dependent dielectric breakdown (TDD)
B) A silicon oxide film having characteristics can be obtained.
Note that the same wet gas generation method or different wet gas generation methods may be used in the first silicon oxide film forming step and the second silicon oxide film forming step. A first silicon oxide film forming step, a second silicon oxide film forming step, or a first silicon oxide film forming step and a second silicon oxide film forming step;
The wet gas in the step of forming a silicon oxide film may be diluted with an inert gas such as a nitrogen gas, an argon gas, and a helium gas. As a result, rapid oxidation on the surface of the silicon layer can be suppressed, and the uniformity of the in-plane film thickness and the inter-plane film thickness can be improved.

【0026】第1のシリコン酸化膜形成工程、第2のシ
リコン酸化膜形成工程、又は、第1のシリコン酸化膜形
成工程及び第2のシリコン酸化膜形成工程における湿式
ガスにはハロゲン元素が含有されていてもよい。これに
よって、タイムゼロ絶縁破壊(TZDB)特性及び経時
絶縁破壊(TDDB)特性に優れたシリコン酸化膜を得
ることができる。ハロゲン元素として、塩素、臭素、フ
ッ素を挙げることができるが、なかでも塩素であること
が望ましい。湿式ガス中に含有されるハロゲン元素の形
態としては、例えば、塩化水素(HCl)、CCl4
2HCl3、Cl2、HBr、NF3を挙げることができ
る。湿式ガス中のハロゲン元素の含有率は、分子又は化
合物の形態を基準として、0.001〜10容量%、好
ましくは0.005〜10容量%、更に好ましくは0.
02〜10容量%である。例えば塩化水素ガスを用いる
場合、湿式ガス中の塩化水素ガス含有率は0.02〜1
0容量%であることが望ましい。尚、第2のシリコン酸
化膜形成工程の雰囲気をハロゲン元素が含有された湿式
ガス雰囲気とすることによって、第1のシリコン酸化膜
形成工程にて形成されたシリコン酸化膜の特性の一層の
向上を図ることができる。即ち、第1のシリコン酸化膜
形成工程において生じ得る欠陥であるシリコンダングリ
ングボンド(Si・)やSiOHが第2のシリコン酸化
膜形成工程においてハロゲン元素と反応し、シリコンダ
ングリングボンドが終端しあるいは脱水反応を生じる結
果、信頼性劣化因子であるこれらの欠陥が排除される。
特に、これらの欠陥の排除は、第1のシリコン酸化膜形
成工程において形成された初期のシリコン酸化膜に対し
て効果的である。
The halogen gas is contained in the wet gas in the first silicon oxide film forming step, the second silicon oxide film forming step, or the first silicon oxide film forming step and the second silicon oxide film forming step. May be. As a result, a silicon oxide film having excellent time zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. Examples of the halogen element include chlorine, bromine, and fluorine, and among them, chlorine is preferable. Examples of the form of the halogen element contained in the wet gas include hydrogen chloride (HCl), CCl 4 ,
It can be exemplified C 2 HCl 3, Cl 2, HBr, NF 3. The content of the halogen element in the wet gas is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.1 to 10% by volume, based on the form of the molecule or the compound.
02 to 10% by volume. For example, when using hydrogen chloride gas, the content of hydrogen chloride gas in the wet gas is 0.02 to 1
Desirably, it is 0% by volume. By setting the atmosphere in the second silicon oxide film forming step to a wet gas atmosphere containing a halogen element, the characteristics of the silicon oxide film formed in the first silicon oxide film forming step can be further improved. Can be planned. That is, a silicon dangling bond (Si.) Or SiOH, which is a defect that can occur in the first silicon oxide film forming step, reacts with a halogen element in the second silicon oxide film forming step, and the silicon dangling bond terminates or As a result of the dehydration reaction, these defects, which are reliability deterioration factors, are eliminated.
In particular, elimination of these defects is effective for the initial silicon oxide film formed in the first silicon oxide film forming step.

【0027】形成されたシリコン酸化膜の特性を一層向
上させるために、本発明のシリコン酸化膜の形成方法に
おいては、第2のシリコン酸化膜形成工程の完了後、形
成されたシリコン酸化膜に熱処理を施すことが好まし
い。
In order to further improve the characteristics of the formed silicon oxide film, in the method of forming a silicon oxide film according to the present invention, after the completion of the second silicon oxide film forming step, the formed silicon oxide film is subjected to a heat treatment. Is preferably applied.

【0028】この場合、熱処理の雰囲気を、ハロゲン元
素を含有する不活性ガス雰囲気とすることが望ましい。
ハロゲン元素を含有する不活性ガス雰囲気中でシリコン
酸化膜を熱処理することによって、シリコン酸化膜とシ
リコン層との界面におけるシリコンダングリングボンド
の終端(例えば、Si・+Cl→SiCl)や脱水効果
(SiOH+HCl→SiCl+H2O)によってシリ
コン酸化膜の信頼性を低下させる要因が一層確実に排除
され、タイムゼロ絶縁破壊(TZDB)特性及び経時絶
縁破壊(TDDB)特性に優れたシリコン酸化膜を得る
ことができる。熱処理における不活性ガスとしては、窒
素ガス、アルゴンガス、ヘリウムガスを例示することが
できる。また、ハロゲン元素として、塩素、臭素、フッ
素を挙げることができるが、なかでも塩素であることが
望ましい。不活性ガス中に含有されるハロゲン元素の形
態としては、例えば、塩化水素(HCl)、CCl4
2HCl3、Cl2、HBr、NF3を挙げることができ
る。不活性ガス中のハロゲン元素の含有率は、分子又は
化合物の形態を基準として、0.001〜10容量%、
好ましくは0.005〜10容量%、更に好ましくは
0.02〜10容量%である。例えば塩化水素ガスを用
いる場合、不活性ガス中の塩化水素ガス含有率は0.0
2〜10容量%であることが望ましい。
In this case, it is desirable that the atmosphere for the heat treatment be an inert gas atmosphere containing a halogen element.
By heat-treating the silicon oxide film in an inert gas atmosphere containing a halogen element, the termination of a silicon dangling bond (eg, Si. + Cl → SiCl) at the interface between the silicon oxide film and the silicon layer and the dehydration effect (SiOH + HCl) (→ SiCl + H 2 O), a factor that lowers the reliability of the silicon oxide film is more reliably eliminated, and a silicon oxide film having excellent time-zero dielectric breakdown (TZDB) characteristics and time-dependent dielectric breakdown (TDDB) characteristics can be obtained. . Examples of the inert gas in the heat treatment include a nitrogen gas, an argon gas, and a helium gas. In addition, examples of the halogen element include chlorine, bromine, and fluorine, and among them, chlorine is preferable. Examples of the form of the halogen element contained in the inert gas include hydrogen chloride (HCl), CCl 4 ,
It can be exemplified C 2 HCl 3, Cl 2, HBr, NF 3. The content of the halogen element in the inert gas is 0.001 to 10% by volume, based on the form of the molecule or compound,
Preferably it is 0.005 to 10% by volume, more preferably 0.02 to 10% by volume. For example, when using hydrogen chloride gas, the hydrogen chloride gas content in the inert gas is 0.0
It is desirably 2 to 10% by volume.

【0029】尚、本発明のシリコン酸化膜の形成方法に
おいては、熱処理を、枚葉処理とすることもできるが、
本発明のシリコン酸化膜形成装置の処理室内で実行する
炉アニール処理とすることが好ましい。熱処理の温度
は、700〜1200゜C、好ましくは700〜100
0゜C、更に好ましくは700〜950゜Cである。ま
た、熱処理を炉アニール処理とする場合の熱処理の時間
は、5〜60分、好ましくは10〜40分、更に好まし
くは20〜30分である。一方、熱処理を枚葉処理とす
る場合の熱処理の時間は、1〜10分とすることが好ま
しい。尚、枚葉処理とする場合には、本発明のシリコン
酸化膜形成装置とは別の熱処理装置を使用する必要があ
る。
In the method of forming a silicon oxide film of the present invention, the heat treatment may be a single-wafer treatment.
Preferably, the furnace annealing process is performed in the processing chamber of the silicon oxide film forming apparatus of the present invention. The temperature of the heat treatment is 700 to 1200 ° C, preferably 700 to 100 ° C.
0 ° C, more preferably 700 to 950 ° C. When the heat treatment is furnace annealing, the heat treatment time is 5 to 60 minutes, preferably 10 to 40 minutes, and more preferably 20 to 30 minutes. On the other hand, when the heat treatment is a single-wafer treatment, the heat treatment time is preferably 1 to 10 minutes. In the case of single-wafer processing, it is necessary to use a heat treatment apparatus different from the silicon oxide film forming apparatus of the present invention.

【0030】本発明のシリコン酸化膜の形成方法におい
ては、形成されたシリコン酸化膜に熱処理を施す際の雰
囲気温度を、第2のシリコン酸化膜形成工程の雰囲気温
度よりも高くすることが望ましい。この場合、第2のシ
リコン酸化膜形成工程の完了後、雰囲気を不活性ガス雰
囲気に切り替えた後、熱処理を施すための雰囲気温度ま
で昇温してもよいが、雰囲気をハロゲン元素を含有する
不活性ガス雰囲気に切り替えた後、熱処理を施すための
雰囲気温度まで昇温することが好ましい。ここで、不活
性ガスとしては、窒素ガス、アルゴンガス、ヘリウムガ
スを例示することができる。また、不活性ガス中に含有
されるハロゲン元素の形態としては、例えば、塩化水素
(HCl)、CCl4、C2HCl3、Cl2、HBr、N
3を挙げることができる。不活性ガス中のハロゲン元
素の含有率は、分子又は化合物の形態を基準として、
0.001〜10容量%、好ましくは0.005〜10
容量%、更に好ましくは0.02〜10容量%である。
例えば塩化水素ガスを用いる場合、不活性ガス中の塩化
水素ガス含有率は0.02〜10容量%であることが望
ましい。
In the method of forming a silicon oxide film according to the present invention, it is desirable that the temperature of the atmosphere when the formed silicon oxide film is subjected to the heat treatment be higher than the temperature of the atmosphere in the second silicon oxide film forming step. In this case, after the completion of the second silicon oxide film forming step, the atmosphere may be switched to an inert gas atmosphere, and then the temperature may be increased to an ambient temperature for performing a heat treatment. After switching to the active gas atmosphere, it is preferable to raise the temperature to the ambient temperature for performing the heat treatment. Here, examples of the inert gas include a nitrogen gas, an argon gas, and a helium gas. Examples of the form of the halogen element contained in the inert gas include hydrogen chloride (HCl), CCl 4 , C 2 HCl 3 , Cl 2 , HBr, and N.
F 3 can be mentioned. The content of the halogen element in the inert gas is based on the form of the molecule or compound,
0.001 to 10% by volume, preferably 0.005 to 10%
%, More preferably 0.02 to 10% by volume.
For example, when using hydrogen chloride gas, the content of hydrogen chloride gas in the inert gas is preferably 0.02 to 10% by volume.

【0031】熱処理を、ハロゲン元素を含有する不活性
ガス雰囲気を大気圧よりも減圧した状態で行ってもよ
い。
The heat treatment may be performed in a state where the atmosphere of an inert gas containing a halogen element is reduced in pressure from the atmospheric pressure.

【0032】尚、熱処理後、シリコン酸化膜を窒化処理
してもよい。この場合、窒化処理を、N2Oガス、NO
ガス、NO2ガス雰囲気中で行うことが望ましいが、中
でもN2Oガス雰囲気中で行うことが望ましい。あるい
は又、窒化処理をNH3ガス、N24、ヒドラジン誘導
体雰囲気中で行い、その後、N2Oガス、O2雰囲気中で
アニール処理を行うことが望ましい。窒化処理を700
乃至1200゜C、好ましくは800乃至1150゜
C、更に好ましくは900乃至1100゜Cの温度で行
うことが望ましく、この場合、シリコン層の加熱を赤外
線照射、炉アニール処理によって行うことが好ましい。
After the heat treatment, the silicon oxide film may be nitrided. In this case, the nitriding treatment is performed with N 2 O gas, NO
It is desirable to carry out in an atmosphere of gas and NO 2 gas, and it is particularly desirable to carry out in an atmosphere of N 2 O gas. Alternatively, it is preferable to perform the nitriding treatment in an atmosphere of NH 3 gas, N 2 H 4 , and hydrazine derivative, and then perform the annealing treatment in an atmosphere of N 2 O gas and O 2 . 700 nitriding
It is preferable that the heating be performed at a temperature of from 1200 to 1200 ° C., preferably from 800 to 1150 ° C., more preferably from 900 to 1100 ° C. In this case, it is preferable to heat the silicon layer by infrared irradiation and furnace annealing.

【0033】あるいは又、熱処理の雰囲気を、窒素系ガ
ス雰囲気としてもよい。ここで窒素系ガスとして、
2、NH3、N2O、NO2、NOを例示することができ
る。
Alternatively, the atmosphere for the heat treatment may be a nitrogen-based gas atmosphere. Here, as nitrogen-based gas,
Examples include N 2 , NH 3 , N 2 O, NO 2 , and NO.

【0034】本発明のシリコン酸化膜の形成方法におい
ては、第2のシリコン酸化膜形成工程を経た後の最終的
なシリコン酸化膜の膜厚は、半導体装置に要求される所
定の厚さとすればよい。一方、第1のシリコン酸化膜形
成工程を経た後のシリコン酸化膜の膜厚は、出来る限り
薄いことが好ましい。但し、現在、半導体装置の製造に
用いられているシリコン半導体基板の面方位は殆どの場
合(100)であり、如何にシリコン半導体基板の表面
を平滑化しても(100)シリコンの表面には必ずステ
ップと呼ばれる段差が形成される。このステップは通常
シリコン原子1層分であるが、場合によっては2〜3層
分の段差が形成されることがある。従って、第1のシリ
コン酸化膜形成工程を経た後のシリコン酸化膜の膜厚
は、シリコン層として(100)シリコン半導体基板を
用いる場合、0.8nm以上、例えば0.8〜1.2n
mとすることが好ましいが、このような値に限定するも
のではない。
In the method of forming a silicon oxide film according to the present invention, the final silicon oxide film after the second silicon oxide film forming step has a predetermined thickness required for a semiconductor device. Good. On the other hand, the thickness of the silicon oxide film after the first silicon oxide film forming step is preferably as thin as possible. However, the plane orientation of the silicon semiconductor substrate currently used in the manufacture of semiconductor devices is almost (100), and no matter how the surface of the silicon semiconductor substrate is smoothed, the surface of the silicon semiconductor substrate must be (100). A step called a step is formed. This step is usually for one layer of silicon atoms, but in some cases, a step for two to three layers may be formed. Therefore, the thickness of the silicon oxide film after the first silicon oxide film forming step is 0.8 nm or more, for example, 0.8 to 1.2 n when a (100) silicon semiconductor substrate is used as the silicon layer.
m is preferable, but the value is not limited to such a value.

【0035】本発明のシリコン酸化膜の形成方法におい
ては、第1のシリコン酸化膜形成工程において、シリコ
ン酸化膜を形成する前の雰囲気を、湿式ガスに基づくシ
リコン酸化膜の形成の前のシリコン酸化膜の形成を抑制
するために、窒素ガス、アルゴンガス、ヘリウムガス等
の不活性ガス雰囲気、あるいは減圧雰囲気とすることが
望ましい。
In the method for forming a silicon oxide film according to the present invention, in the first silicon oxide film forming step, the atmosphere before the formation of the silicon oxide film is changed to the silicon oxide before the formation of the silicon oxide film based on the wet gas. In order to suppress the formation of a film, an inert gas atmosphere such as a nitrogen gas, an argon gas, or a helium gas, or a reduced-pressure atmosphere is preferable.

【0036】通常、シリコン層にシリコン酸化膜を形成
する前に、NH4OH/H22水溶液で洗浄し更にHC
l/H22水溶液で洗浄するというRCA洗浄によりシ
リコン層の表面を洗浄し、その表面から微粒子や金属不
純物を除去した後、フッ化水素酸水溶液にシリコン層を
浸漬する。ところが、その後、シリコン層が大気に曝さ
れると、シリコン層の表面が汚染され、水分や有機物が
シリコン層の表面に付着し、あるいは又、シリコン層表
面のSi原子が水酸基(OH)と結合する虞がある(例
えば、文献 "Highly-reliable Gate Oxide Formation f
or Giga-ScaleLSIs by using Closed Wet Cleaning Sys
tem and Wet Oxidation with Ultra-Dry Unloading",
J. Yugami, et al., International Rlectron Device M
eeting Technical Digest 95, pp 855-858 参照)。こ
のような場合、そのままの状態でシリコン酸化膜の形成
を開始すると、形成されたシリコン酸化膜中に水分や有
機物、あるいは又、Si−OHが取り込まれ、形成され
たシリコン酸化膜の特性低下あるいは欠陥部分の発生の
原因となり得る。尚、欠陥部分とは、シリコンダングリ
ングボンド(Si・)やSi−H結合といった欠陥が含
まれるシリコン酸化膜の部分、あるいは又、Si−O−
Si結合が応力によって圧縮され若しくはSi−O−S
i結合の角度が厚い若しくはバルクのシリコン酸化膜中
のSi−O−Si結合の角度と異なるといったSi−O
−Si結合が含まれたシリコン酸化膜の部分を意味す
る。それ故、このような問題の発生を回避するために、
本発明のシリコン酸化膜の形成方法においては、第1の
シリコン酸化膜形成工程の前にシリコン層表面を洗浄す
る工程を含み、表面洗浄後のシリコン層を大気に曝すこ
となく(即ち、例えば、シリコン層表面の洗浄から第1
のシリコン酸化膜形成工程の開始までの雰囲気を不活性
ガス雰囲気若しくは真空雰囲気とし)、第1のシリコン
酸化膜形成工程を実行することが好ましい。これによっ
て、大部分が水素で終端され、極一部がフッ素で終端さ
れた表面を有するシリコン層にシリコン酸化膜を形成す
ることができ、形成されたシリコン酸化膜の特性低下あ
るいは欠陥部分の発生を防止することができる。
Normally, before forming a silicon oxide film on a silicon layer, the silicon layer is washed with an NH 4 OH / H 2 O 2 aqueous solution, and
The surface of the silicon layer is cleaned by RCA cleaning in which the silicon layer is cleaned with a 1 / H 2 O 2 aqueous solution, fine particles and metal impurities are removed from the surface, and then the silicon layer is immersed in a hydrofluoric acid aqueous solution. However, when the silicon layer is subsequently exposed to the air, the surface of the silicon layer is contaminated, and moisture and organic substances adhere to the surface of the silicon layer, or Si atoms on the surface of the silicon layer combine with hydroxyl groups (OH). (For example, see the document “Highly-reliable Gate Oxide Formation f
or Giga-ScaleLSIs by using Closed Wet Cleaning Sys
tem and Wet Oxidation with Ultra-Dry Unloading ",
J. Yugami, et al., International Rlectron Device M
eeting Technical Digest 95, pp 855-858). In such a case, when the formation of the silicon oxide film is started as it is, moisture, an organic substance, or Si-OH is taken into the formed silicon oxide film, and the characteristics of the formed silicon oxide film deteriorate or It can cause the generation of defective portions. Note that the defect portion is a portion of a silicon oxide film including a defect such as a silicon dangling bond (Si.) Or a Si-H bond, or a Si-O-
Si bond is compressed by stress or Si-OS
Si-O in which the angle of the i-bond is thick or different from the angle of the Si-O-Si bond in the bulk silicon oxide film
-Means a portion of the silicon oxide film including the Si bond. Therefore, in order to avoid such problems,
The method for forming a silicon oxide film of the present invention includes a step of cleaning the surface of the silicon layer before the first silicon oxide film forming step, without exposing the silicon layer after the surface cleaning to the atmosphere (ie, for example, First from silicon layer surface cleaning
The atmosphere up to the start of the silicon oxide film formation step is an inert gas atmosphere or a vacuum atmosphere), and the first silicon oxide film formation step is preferably performed. As a result, a silicon oxide film can be formed on a silicon layer having a surface that is mostly terminated with hydrogen and a very small portion is terminated with fluorine, and the characteristics of the formed silicon oxide film are deteriorated or defects are generated. Can be prevented.

【0037】本発明のシリコン酸化膜の形成方法におい
て、シリコン層とは、シリコン半導体基板等の基板その
ものだけでなく、基板の上に形成されたエピタキシャル
シリコン層(選択エピタキシャル成長法にて形成された
エピタキシャルシリコン層を含む)、ポリシリコン層、
あるいはアモルファスシリコン層、所謂張り合わせ法や
SIMOX法に基づき製造されたSOI構造におけるシ
リコン層、更には、基板やこれらの層に半導体素子や半
導体素子の構成要素が形成されたもの等、シリコン酸化
膜を形成すべきシリコン層(下地)を意味する。シリコ
ン半導体基板の作製方法は、CZ法、MCZ法、DLC
Z法、FZ法等、如何なる方法であってもよいし、ま
た、予め高温の水素アニール処理を行い結晶欠陥を除去
したものでもよい。
In the method of forming a silicon oxide film according to the present invention, the term “silicon layer” refers to not only a substrate itself such as a silicon semiconductor substrate but also an epitaxial silicon layer formed on the substrate (epitaxial layer formed by a selective epitaxial growth method). Silicon layer), polysilicon layer,
Alternatively, a silicon oxide film such as an amorphous silicon layer, a silicon layer in an SOI structure manufactured based on a so-called bonding method or a SIMOX method, and further a semiconductor element or a component of a semiconductor element formed on a substrate or these layers. It means a silicon layer (base) to be formed. The method of manufacturing the silicon semiconductor substrate is CZ method, MCZ method, DLC
Any method such as the Z method and the FZ method may be used, or a method in which crystal defects are removed by high-temperature hydrogen annealing beforehand may be used.

【0038】本発明のシリコン酸化膜の形成方法は、例
えばMOS型トランジスタのゲート酸化膜、層間絶縁膜
や素子分離領域の形成、トップゲート型若しくはボトム
ゲート型薄膜トランジスタのゲート酸化膜の形成、フラ
ッシュメモリのトンネル酸化膜の形成等、各種半導体装
置におけるシリコン酸化膜の形成に適用することができ
る。
The method of forming a silicon oxide film of the present invention includes, for example, forming a gate oxide film of a MOS transistor, forming an interlayer insulating film and an element isolation region, forming a gate oxide film of a top gate or bottom gate thin film transistor, and flash memory. For example, the present invention can be applied to formation of a silicon oxide film in various semiconductor devices, such as formation of a tunnel oxide film.

【0039】本発明においては、基体搬入出部を経由し
て処理室に基体を搬入するとき、シリコン層の表面にシ
リコン酸化膜を形成するので、即ち、基体を処理室内に
搬入する途中で、比較的低温の湿式ガス雰囲気中でシリ
コン層の表面にシリコン酸化膜を形成するので、従来の
シリコン酸化膜形成方法と比較してもシリコン酸化膜の
全形成に要する時間が左程延長することがない。
In the present invention, a silicon oxide film is formed on the surface of the silicon layer when the substrate is loaded into the processing chamber via the substrate loading / unloading section, that is, during the loading of the substrate into the processing chamber. Since the silicon oxide film is formed on the surface of the silicon layer in a relatively low-temperature wet gas atmosphere, the time required for forming the entire silicon oxide film can be prolonged as compared to the conventional silicon oxide film forming method. Absent.

【0040】また、シリコン層の表面からシリコン原子
が脱離しない温度に雰囲気を保持した状態にて、湿式ガ
スを用いた酸化法によってシリコン層の表面にシリコン
酸化膜の形成を開始する。シリコン酸化膜の形成開始時
の雰囲気温度をこのような温度とすることによって、シ
リコン層の表面に凹凸(荒れ)が生じることを防止し得
る。また、シリコン原子の酸化は、シリコン層の最表面
からではなく、1層内部のシリコン原子から始まる。即
ち、所謂バックボンドから始まる。従って、シリコン層
とシリコン酸化膜との間の界面の平滑性が原子レベルで
保たれるので、最終的に形成されるシリコン酸化膜の特
性は優れたものとなる。更には、シリコン酸化膜が形成
された状態で第2のシリコン酸化膜形成工程を開始する
ので、第1のシリコン酸化膜形成工程における雰囲気温
度から第2のシリコン酸化膜形成工程における雰囲気温
度まで昇温したときにも、シリコン層の表面に凹凸(荒
れ)が生じることを防止し得る。しかも、湿式ガスを用
いた酸化法によってシリコン層の表面にシリコン酸化膜
を形成するので、最終的に形成されるシリコン酸化膜中
にドライ酸化膜が含まれず、優れた特性を有するシリコ
ン酸化膜を形成することができる。
In a state where the atmosphere is maintained at a temperature at which silicon atoms do not desorb from the surface of the silicon layer, the formation of a silicon oxide film on the surface of the silicon layer is started by an oxidation method using a wet gas. By setting the ambient temperature at the start of the formation of the silicon oxide film to such a temperature, it is possible to prevent the surface of the silicon layer from being uneven (rough). The oxidation of silicon atoms starts from the silicon atoms inside one layer, not from the outermost surface of the silicon layer. That is, it starts with a so-called back bond. Accordingly, since the smoothness of the interface between the silicon layer and the silicon oxide film is maintained at the atomic level, the characteristics of the silicon oxide film finally formed are excellent. Further, since the second silicon oxide film forming step is started with the silicon oxide film formed, the temperature rises from the ambient temperature in the first silicon oxide film forming step to the ambient temperature in the second silicon oxide film forming step. Irregularities (roughness) on the surface of the silicon layer can be prevented even when heated. In addition, since the silicon oxide film is formed on the surface of the silicon layer by an oxidation method using a wet gas, the silicon oxide film finally formed does not include a dry oxide film, and a silicon oxide film having excellent characteristics is formed. Can be formed.

【0041】尚、処理室内にシリコン半導体基板を搬入
した後、第1のシリコン酸化膜形成工程及び第2のシリ
コン酸化膜形成工程を実行することも考えられるが、処
理室内の雰囲気温度を例えば350゜Cから800゜C
に上昇させるための時間、及び、処理室内の雰囲気温度
を例えば800゜Cから350゜Cに強制的に空冷等に
よって降温させるための時間が必要となり、このような
方法では、従来のシリコン酸化膜形成方法と比較してシ
リコン酸化膜の全形成に要する時間が1.5倍〜2倍に
もなってしまう。また、低温〜高温〜低温〜・・・の繰
り返しにより、ヒータ、石英製部品、保温材等の劣化が
生じ易い。
After the silicon semiconductor substrate is loaded into the processing chamber, the first silicon oxide film forming step and the second silicon oxide film forming step may be performed.゜ C to 800 ゜ C
And a time for forcibly lowering the ambient temperature in the processing chamber from, for example, 800 ° C. to 350 ° C. by air cooling or the like, and such a method requires a conventional silicon oxide film. The time required for the entire formation of the silicon oxide film is 1.5 to 2 times as long as the formation method. In addition, deterioration of the heater, quartz parts, heat insulating material, and the like is likely to occur due to repetition of low temperature to high temperature to low temperature.

【0042】[0042]

【実施例】以下、図面を参照して、実施例に基づき本発
明を説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described based on embodiments with reference to the drawings.

【0043】(実施例1)本発明の縦型方式のシリコン
酸化膜形成装置の模式的な断面図を図1に示す。このシ
リコン酸化膜形成装置においては、パイロジェニック酸
化法によってシリコン酸化膜を形成する。図1に示すシ
リコン酸化膜形成装置は、垂直方向に保持された石英製
の二重管構造の処理室10と、処理室10へ湿式ガスや
不活性ガスを導入するためのガス導入部12と、処理室
10からガスを排気するガス排気部13と、SiCから
成る円筒状の均熱管16を介して処理室10内を所定の
雰囲気温度に保持するためのヒータ14と、パージ室2
0と、パージ室20へ窒素ガスを導入するためのガス導
入部21と、パージ室20からガスを排気するガス排気
部22と、処理室10とパージ室20とを仕切るシャッ
ター15と、シリコン層を有する基体を処理室10内に
搬入出するためのエレベータ機構23から構成されてい
る。エレベータ機構23には、シリコン層を有する基体
を載置するための石英ボート24が取り付けられてい
る。処理室10内で、シリコン層の表面にシリコン酸化
膜が形成される。また、処理室10においては、各基体
を水平に保持し、且つ、複数の基体を垂直方法に配置し
た状態で、各基体におけるシリコン層の表面にシリコン
酸化膜が形成される。
(Example 1) FIG. 1 is a schematic sectional view of a vertical type silicon oxide film forming apparatus according to the present invention. In this silicon oxide film forming apparatus, a silicon oxide film is formed by a pyrogenic oxidation method. The silicon oxide film forming apparatus shown in FIG. 1 includes a processing chamber 10 having a double tube structure made of quartz and held in a vertical direction, and a gas introduction unit 12 for introducing a wet gas or an inert gas into the processing chamber 10. A gas exhaust unit 13 for exhausting gas from the processing chamber 10, a heater 14 for maintaining the inside of the processing chamber 10 at a predetermined atmospheric temperature via a cylindrical soaking tube 16 made of SiC, and a purge chamber 2.
0, a gas introduction unit 21 for introducing nitrogen gas into the purge chamber 20, a gas exhaust unit 22 for exhausting gas from the purge chamber 20, a shutter 15 for separating the processing chamber 10 from the purge chamber 20, and a silicon layer. And an elevator mechanism 23 for carrying in and out the substrate having the above. A quartz boat 24 for mounting a substrate having a silicon layer is attached to the elevator mechanism 23. In the processing chamber 10, a silicon oxide film is formed on the surface of the silicon layer. In the processing chamber 10, a silicon oxide film is formed on the surface of the silicon layer in each substrate while each substrate is held horizontally and a plurality of substrates are arranged in a vertical manner.

【0044】処理室10の下部には、シリコン層を有す
る基体を処理室10に搬入出するために、基体搬入出部
25が設けられている。基体搬入出部25には、湿式ガ
ス導入部26と、この湿式ガス導入部26と水平方向に
概ね対向した湿式ガス排気部27が設けられている。
尚、基体搬入出部25の湿式ガス導入部26及び湿式ガ
ス排気部27を含む部分を水平面で切断したときの概念
図を図2に示す。基体搬入出部25には、更に、不活性
ガス導入部28A,28B及び不活性ガス排気部29
A,29Bが設けられている。不活性ガス導入部28A
及び不活性ガス導入部28Bのそれぞれは、湿式ガス導
入部26の上方及び下方に設けられている。不活性ガス
排気部29A,29Bは、これらの不活性ガス導入部2
8A,28Bと水平方向に概ね対向して配設されてい
る。
A substrate loading / unloading section 25 is provided below the processing chamber 10 to transport a substrate having a silicon layer into and out of the processing chamber 10. The substrate carrying-in / out section 25 is provided with a wet gas introduction section 26 and a wet gas exhaust section 27 substantially opposed to the wet gas introduction section 26 in the horizontal direction.
FIG. 2 is a conceptual diagram when a portion including the wet gas introduction section 26 and the wet gas exhaust section 27 of the substrate carrying-in / out section 25 is cut along a horizontal plane. The substrate carrying-in / out section 25 further includes inert gas introduction sections 28A and 28B and an inert gas exhaust section 29.
A, 29B are provided. Inert gas introduction part 28A
The inert gas introduction part 28B is provided above and below the wet gas introduction part 26, respectively. The inert gas exhaust sections 29A and 29B are connected to these inert gas introduction sections 2A and 2B.
8A and 28B, and are disposed substantially in the horizontal direction.

【0045】このシリコン酸化膜形成装置には、更に、
基体搬入出部25に接続され、基体搬入出部25を湿式
ガス雰囲気とするための第1の湿式ガス生成装置である
燃焼室30B、及び、処理室10に接続され、処理室1
0内を湿式ガス雰囲気とするための第2の湿式ガス生成
装置である燃焼室30Aが備えられている。配管32A
を介して燃焼室30Aに供給された水素ガスを配管31
Aを介して燃焼室30Aに供給された酸素ガスと燃焼室
30A内で高温にて混合し、燃焼させることによって、
水蒸気を生成させる。かかる水蒸気は、配管33A、ガ
ス流路11及びガス導入部12を介して処理室10内に
導入される。尚、ガス流路11は、二重管構造の処理室
10の内壁及び外壁の間の空間に相当する。あるいは
又、配管32Bを介して燃焼室30Bに供給された水素
ガスを配管31Bを介して燃焼室30Bに供給された酸
素ガスと燃焼室30B内で高温にて混合し、燃焼させる
ことによって、水蒸気を生成させる。かかる水蒸気は、
配管33B、湿式ガス導入部26を介して基体搬入出部
25内に導入され、湿式ガス排気部27から排気され
る。尚、燃焼室30A,30B内の温度を、例えばヒー
タ(図示せず)によって700〜900゜Cに保持す
る。図1に示したシリコン酸化膜形成装置においては、
第1の湿式ガス生成装置及び第2の湿式ガス生成装置を
別個の燃焼室30A,30Bとしたが、共通の1つの燃
焼室としてもよい。
This silicon oxide film forming apparatus further comprises:
The processing chamber 1 is connected to the processing chamber 10, which is connected to the substrate loading / unloading section 25, and is connected to the processing chamber 10, which is a first wet gas generator for setting the substrate loading / unloading section 25 to a wet gas atmosphere.
A combustion chamber 30A, which is a second wet gas generator for providing a wet gas atmosphere inside 0, is provided. Piping 32A
The hydrogen gas supplied to the combustion chamber 30A through the
By mixing and burning the oxygen gas supplied to the combustion chamber 30A via A at a high temperature in the combustion chamber 30A,
Generates steam. The water vapor is introduced into the processing chamber 10 via the pipe 33A, the gas passage 11, and the gas introduction unit 12. The gas flow path 11 corresponds to a space between the inner wall and the outer wall of the processing chamber 10 having a double pipe structure. Alternatively, the hydrogen gas supplied to the combustion chamber 30B via the pipe 32B is mixed with the oxygen gas supplied to the combustion chamber 30B via the pipe 31B at a high temperature in the combustion chamber 30B, and the mixture is burned. Is generated. Such steam is
The gas is introduced into the substrate carrying-in / out section 25 through the pipe 33 </ b> B and the wet gas introduction section 26, and is exhausted from the wet gas exhaust section 27. The temperature in the combustion chambers 30A and 30B is maintained at 700 to 900 ° C. by, for example, a heater (not shown). In the silicon oxide film forming apparatus shown in FIG.
Although the first wet gas generator and the second wet gas generator are separate combustion chambers 30A and 30B, they may be one common combustion chamber.

【0046】実施例1のシリコン酸化膜の形成方法にお
いては、図1に示したシリコン酸化膜形成装置を用い、
第1のシリコン酸化膜形成工程及び第2のシリコン酸化
膜形成工程を処理室10内で行った。また、実施例1に
おいては、シリコン層を有する基体としてシリコン半導
体基板40を用いた。形成されたシリコン酸化膜はゲー
ト酸化膜として機能する。実施例1においては、第1の
シリコン酸化膜形成工程及び第2のシリコン酸化膜形成
工程における湿式ガスを用いた酸化法として、パイロジ
ェニック酸化法を採用した。更には、第2のシリコン酸
化膜形成工程の完了後、形成されたシリコン酸化膜に対
して、ハロゲン元素を含有する不活性ガス雰囲気(塩化
水素を含む窒素ガス雰囲気)中で熱処理(炉アニール処
理)を施した。以下、図1、図3〜図5及び図6を参照
して、実施例1のシリコン酸化膜の形成方法を説明す
る。尚、図3〜図5及び後述する図8において、左側の
図は処理室10等の概念図であり、右側のグラフは、処
理室10、基体搬入出部25及びパージ室20内の温度
分布を模式的に示すグラフである。
In the method of forming a silicon oxide film according to the first embodiment, the silicon oxide film forming apparatus shown in FIG.
The first silicon oxide film forming step and the second silicon oxide film forming step were performed in the processing chamber 10. In Example 1, the silicon semiconductor substrate 40 was used as a base having a silicon layer. The formed silicon oxide film functions as a gate oxide film. In Example 1, a pyrogenic oxidation method was employed as an oxidation method using a wet gas in the first silicon oxide film forming step and the second silicon oxide film forming step. Further, after the completion of the second silicon oxide film forming step, the formed silicon oxide film is subjected to heat treatment (furnace annealing treatment) in an inert gas atmosphere containing a halogen element (a nitrogen gas atmosphere containing hydrogen chloride). ). Hereinafter, a method for forming a silicon oxide film according to the first embodiment will be described with reference to FIGS. 1, 3 to 5, and 6. 3 to 5 and FIG. 8 to be described later, the diagram on the left is a conceptual diagram of the processing chamber 10 and the like, and the graph on the right is a temperature distribution in the processing chamber 10, the substrate loading / unloading section 25, and the purge chamber 20. FIG.

【0047】[工程−100]先ず、リンをドープした
直径8インチのN型シリコンウエハ(CZ法にて作製)
であるシリコン半導体基板40に、公知の方法でLOC
OS構造を有する素子分離領域41を形成し、次いでウ
エルイオン注入、チャネルストップイオン注入、閾値調
整イオン注入を行った。尚、素子分離領域はトレンチ構
造を有していてもよいし、LOCOS構造とトレンチ構
造の組み合わせであってもよい。その後、RCA洗浄に
よりシリコン半導体基板40の表面の微粒子や金属不純
物を除去し、次いで、0.1%フッ化水素酸水溶液によ
りシリコン半導体基板40の表面洗浄を行い、シリコン
半導体基板40の表面を露出させた(図6の(A)参
照)。尚、シリコン半導体基板40の表面は大半が水素
で終端しており、極一部がフッ素で終端されている。
[Step-100] First, an N-type silicon wafer doped with phosphorus and having a diameter of 8 inches (made by the CZ method)
LOC is formed on a silicon semiconductor substrate 40 by a known method.
An element isolation region 41 having an OS structure was formed, and then well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation were performed. Note that the element isolation region may have a trench structure or a combination of a LOCOS structure and a trench structure. Thereafter, fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by RCA cleaning, and then the surface of the silicon semiconductor substrate 40 is cleaned with a 0.1% hydrofluoric acid aqueous solution to expose the surface of the silicon semiconductor substrate 40. (See FIG. 6A). The surface of the silicon semiconductor substrate 40 is mostly terminated with hydrogen, and a very small portion is terminated with fluorine.

【0048】[工程−110]次に、シリコン半導体基
板40を、図1に示したシリコン酸化膜形成装置のパー
ジ室20に図示しない扉から搬入し、石英ボート24に
載置した。そして、パージ室20へのシリコン半導体基
板40の搬入が完了した後、図示しない扉を閉め、パー
ジ室20にガス導入部21から窒素ガスを導入し、ガス
排気部22から排出し、パージ室20内を窒素ガス雰囲
気とした。一方、処理室10へガス導入部12から窒素
ガスを導入し、ガス排気部13から排気し、処理室10
内を窒素ガス等の不活性ガス雰囲気とし(減圧雰囲気で
あってもよい)、且つ、均熱管16を介してヒータ14
によって処理室10内の雰囲気温度を800゜Cに保持
した。尚、この状態においては、シャッター15は閉じ
ておく。また、配管31B,32Bを介して燃焼室30
Bに供給された水素ガスを酸素ガスと燃焼室30B内で
高温にて混合し、燃焼させることによって、水蒸気を生
成させ、この水蒸気を配管33B、湿式ガス導入部26
を介して基体搬入出部25内に導入し、湿式ガス排気部
27から排気した。更には、不活性ガス(実施例1にお
いては窒素ガスを使用)を不活性ガス導入部28A,2
8Bから基体搬入出部25に導入し、不活性ガス排気部
29A,29Bから排気した。そして、これらの状態
を、図3に模式的に示すように、保持した。
[Step-110] Next, the silicon semiconductor substrate 40 was loaded into the purge chamber 20 of the silicon oxide film forming apparatus shown in FIG. After the loading of the silicon semiconductor substrate 40 into the purge chamber 20 is completed, a door (not shown) is closed, nitrogen gas is introduced into the purge chamber 20 from the gas introduction unit 21, discharged from the gas exhaust unit 22, and discharged from the purge chamber 20. The inside was set to a nitrogen gas atmosphere. On the other hand, nitrogen gas is introduced into the processing chamber 10 from the gas introduction unit 12 and exhausted from the gas exhaust unit 13.
The inside is made an inert gas atmosphere such as a nitrogen gas atmosphere (a reduced pressure atmosphere may be used), and the heater 14 is connected via a soaking tube 16.
As a result, the ambient temperature in the processing chamber 10 was maintained at 800 ° C. In this state, the shutter 15 is closed. Further, the combustion chamber 30 is connected via the pipes 31B and 32B.
The hydrogen gas supplied to B is mixed with oxygen gas at a high temperature in the combustion chamber 30B and burned to generate steam, and the steam is supplied to the pipe 33B and the wet gas introduction unit 26.
, And introduced into the substrate carrying-in / out section 25, and exhausted from the wet gas exhaust section 27. Further, an inert gas (a nitrogen gas is used in the first embodiment) is supplied to the inert gas introduction portions 28A and 28A.
8B, the gas was introduced into the substrate loading / unloading section 25, and exhausted from the inert gas exhaust sections 29A, 29B. And these states were held as schematically shown in FIG.

【0049】[工程−120]パージ室20内の酸素ガ
ス濃度をモニターし、酸素ガス濃度が例えば100pp
m以下となったならば、パージ室20内が十分に窒素ガ
ス雰囲気となったと判断する。その後、シャッター15
を開き、エレベータ機構23を作動させて石英ボート2
4を上昇速度5cm/分にて上昇させ、基体搬入出部2
5を経由して処理室10に基体であるシリコン半導体基
板40を搬入する。このとき、第1の湿式ガス生成装置
である燃焼室30Bにて生成した湿式ガスを基体搬入出
部25に導入し続け、基体搬入出部25を湿式ガス雰囲
気とすることによって、シリコン層の表面にシリコン酸
化膜を形成した。即ち、シリコン層(実施例1において
は、シリコン半導体基板40)の表面からシリコン原子
が脱離しない温度に雰囲気温度を保持した状態で(実施
例1においては、具体的には、雰囲気温度を150〜3
50゜Cに設定)、湿式ガスを用いた酸化法によってシ
リコン層の表面にシリコン酸化膜42を形成する第1の
シリコン酸化膜形成工程を実行した。この状態を模式的
に図4に示す。尚、シリコン半導体基板40が基体搬入
出部25内において湿式ガスと接し始めるときの湿式ガ
スの温度が約150゜Cであり、シリコン半導体基板4
0が基体搬入出部25内において湿式ガスと接しなくな
るときの湿式ガスの温度が約350゜Cであり、シリコ
ン半導体基板40が基体搬入出部25から出るときの雰
囲気温度が約400゜Cであるように、湿式ガス、不活
性ガスの温度を図示しないヒータによって制御した。実
施例1においては、1枚のシリコン半導体基板40が基
体搬入出部25内で湿式ガスに接する時間を4分とし
た。これによって、第1のシリコン酸化膜形成工程の完
了時、即ち、シリコン半導体基板40が基体搬入出部2
5内において湿式ガスと接しなくなった時点において、
厚さ1nmのシリコン酸化膜がシリコン層(実施例1に
おいてはシリコン半導体基板40)の表面に形成された
(図6の(B)参照)。このシリコン酸化膜の厚さはS
iO2の数分子層に相当する厚さであり、シリコン半導
体基板の表面のステップを考慮しても、保護膜として機
能するのに十分な厚さである。尚、湿式ガスを、例えば
窒素ガスで希釈してもよい。これによって、一層優れた
シリコン酸化膜の膜厚制御性を得ることができる。
[Step-120] The oxygen gas concentration in the purge chamber 20 is monitored.
m, it is determined that the inside of the purge chamber 20 has become a sufficient nitrogen gas atmosphere. After that, shutter 15
Is opened, and the elevator mechanism 23 is operated to operate the quartz boat 2
4 at a rising speed of 5 cm / min.
The silicon semiconductor substrate 40 serving as a base is carried into the processing chamber 10 via 5. At this time, the wet gas generated in the combustion chamber 30B, which is the first wet gas generator, is continuously introduced into the substrate loading / unloading section 25, and the substrate loading / unloading section 25 is set to a wet gas atmosphere, whereby the surface of the silicon layer is exposed. Then, a silicon oxide film was formed. That is, while maintaining the ambient temperature at a temperature at which silicon atoms do not desorb from the surface of the silicon layer (the silicon semiconductor substrate 40 in the first embodiment) (specifically, in the first embodiment, the ambient temperature is set to 150 ° C.). ~ 3
(Set at 50 ° C.) and a first silicon oxide film forming step of forming a silicon oxide film 42 on the surface of the silicon layer by an oxidation method using a wet gas was performed. This state is schematically shown in FIG. The temperature of the wet gas when the silicon semiconductor substrate 40 starts to come into contact with the wet gas in the substrate loading / unloading section 25 is about 150 ° C.
0 is about 350 ° C. when the wet gas does not come into contact with the wet gas in the substrate loading / unloading section 25, and the ambient temperature when the silicon semiconductor substrate 40 exits the substrate loading / unloading section 25 is about 400 ° C. As described above, the temperatures of the wet gas and the inert gas were controlled by a heater (not shown). In Example 1, the time during which one silicon semiconductor substrate 40 was in contact with the wet gas in the substrate loading / unloading section 25 was set to 4 minutes. Thus, when the first silicon oxide film forming step is completed, that is, when the silicon semiconductor substrate 40 is
At the point when it stops contacting the wet gas in 5,
A silicon oxide film having a thickness of 1 nm was formed on the surface of the silicon layer (the silicon semiconductor substrate 40 in Example 1) (see FIG. 6B). The thickness of this silicon oxide film is S
This is a thickness corresponding to several molecular layers of iO 2 , and is sufficient to function as a protective film even in consideration of steps on the surface of the silicon semiconductor substrate. The wet gas may be diluted with, for example, nitrogen gas. As a result, more excellent controllability of the thickness of the silicon oxide film can be obtained.

【0050】[工程−130]石英ボート24の上昇を
継続し、最終的に全てのシリコン半導体基板40を処理
室10の上部に格納した。エレベータ機構23が最上昇
位置に辿り着いた後、シャッター15を閉じた。これに
よって、処理室10とパージ室20との間は連通しなく
なる。処理室10内の雰囲気温度はヒータ14によって
800゜Cに保持されているが、シリコン半導体基板4
0の表面には既に保護膜としても機能するシリコン酸化
膜が形成されているので、シリコン半導体基板40の表
面に荒れが発生することを抑制することができる。
[Step-130] The raising of the quartz boat 24 was continued, and all the silicon semiconductor substrates 40 were finally stored in the upper part of the processing chamber 10. After the elevator mechanism 23 reached the highest position, the shutter 15 was closed. As a result, communication between the processing chamber 10 and the purge chamber 20 is stopped. The ambient temperature in the processing chamber 10 is maintained at 800 ° C. by the heater 14,
Since a silicon oxide film that also functions as a protective film is already formed on the surface of the substrate 0, it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40.

【0051】[工程−140]その後、処理室10内へ
の不活性ガス(窒素ガス)の導入を中止した。また、基
体搬入出部25内への湿式ガスの導入を中止し、配管3
3B、湿式ガス導入部26を介して基体搬入出部25内
に不活性ガス(例えば、窒素ガス)を導入し、湿式ガス
排気部27から排気し続けた。そして、第1のシリコン
酸化膜形成工程における雰囲気温度よりも高い温度(実
施例1においては800゜C)に処理室10内の雰囲気
温度が安定した後、第2の湿式ガス生成装置である燃焼
室30Aにて生成した湿式ガスを処理室10内に導入し
た。即ち、配管31A,32Aを介して燃焼室30Aに
供給された水素ガスと酸素ガスとを燃焼室30A内で高
温にて混合し、水素ガスを燃焼させることによって生成
した水蒸気(パロジェニック法にて生成した水蒸気)
を、配管33A、ガス流路11及びガス導入部12を介
して処理室10内に導入した(図5参照)。こうして、
処理室10を温度800゜Cの湿式ガス雰囲気とするこ
とによって、シリコン層であるシリコン半導体基板40
の表面に総厚4.0nmのシリコン酸化膜を形成した
(図6の(C)参照)。尚、湿式ガスを、例えば窒素ガ
スで希釈してもよい。これによって、一層優れたシリコ
ン酸化膜の膜厚制御性を得ることができる。
[Step-140] Thereafter, the introduction of the inert gas (nitrogen gas) into the processing chamber 10 was stopped. Further, the introduction of the wet gas into the substrate carrying-in / out section 25 is stopped, and the piping 3 is stopped.
3B, an inert gas (for example, nitrogen gas) was introduced into the substrate carrying-in / out section 25 through the wet gas introduction section 26, and the gas was continuously exhausted from the wet gas exhaust section 27. Then, after the ambient temperature in the processing chamber 10 is stabilized to a temperature higher than the ambient temperature in the first silicon oxide film forming step (800 ° C. in the first embodiment), the combustion in the second wet gas generator is performed. The wet gas generated in the chamber 30A was introduced into the processing chamber 10. That is, the hydrogen gas and the oxygen gas supplied to the combustion chamber 30A through the pipes 31A and 32A are mixed at a high temperature in the combustion chamber 30A, and the steam generated by burning the hydrogen gas (by a parogenic method). Generated steam)
Was introduced into the processing chamber 10 via the pipe 33A, the gas flow path 11, and the gas introduction unit 12 (see FIG. 5). Thus,
By setting the processing chamber 10 to a wet gas atmosphere at a temperature of 800 ° C., the silicon semiconductor substrate 40 as a silicon layer is formed.
A silicon oxide film having a total thickness of 4.0 nm was formed on the surface (see FIG. 6C). The wet gas may be diluted with, for example, nitrogen gas. As a result, more excellent controllability of the thickness of the silicon oxide film can be obtained.

【0052】以上により、シリコン半導体基板40の表
面におけるシリコン酸化膜42の形成が完了するので、
以降、処理室10内を窒素ガス等の不活性ガス雰囲気と
し、シャッター15を開き、エレベータ機構23を動作
させて石英ボート24を下降させ、シャッター15を閉
じた後、図示しない扉を開き、シリコン半導体基板40
を搬出してもよいが、一層高い特性を有するシリコン酸
化膜の形成を意図する場合には、以下に説明する熱処理
をシリコン酸化膜に施すことが好ましい。
As described above, the formation of the silicon oxide film 42 on the surface of the silicon semiconductor substrate 40 is completed.
Thereafter, the interior of the processing chamber 10 is set to an inert gas atmosphere such as nitrogen gas, the shutter 15 is opened, the elevator mechanism 23 is operated, the quartz boat 24 is lowered, and the shutter 15 is closed. Semiconductor substrate 40
However, if it is intended to form a silicon oxide film having higher characteristics, it is preferable to perform a heat treatment described below on the silicon oxide film.

【0053】[工程−150]即ち、その後、処理室1
0への湿式ガスの導入を中止し、窒素ガスをガス導入部
12から処理室10内に導入しつつ、処理室10の雰囲
気温度をヒータ14によって850゜Cまで昇温した。
次いで、塩化水素を0.1容量%含有する窒素ガスをガ
ス導入部12から処理室10内に導入し、30分間、熱
処理を行った(図6の(D)参照)。
[Step-150] That is, after that, the processing chamber 1
The introduction of the wet gas to 0 was stopped, and the temperature of the atmosphere in the processing chamber 10 was raised to 850 ° C. by the heater 14 while introducing the nitrogen gas into the processing chamber 10 from the gas introduction unit 12.
Next, nitrogen gas containing 0.1% by volume of hydrogen chloride was introduced into the processing chamber 10 from the gas introduction unit 12, and heat treatment was performed for 30 minutes (see FIG. 6D).

【0054】[工程−160]以上により、シリコン半
導体基板40の表面におけるシリコン酸化膜42の形成
が完了する。以降、処理室10内を窒素ガス雰囲気と
し、シャッター15を開き、エレベータ機構23を動作
させて石英ボート24を下降させ、シャッター15を閉
じた後、パージ室20からシリコン半導体基板40を搬
出した。
[Step-160] With the above, the formation of the silicon oxide film 42 on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the processing chamber 10 was set to a nitrogen gas atmosphere, the shutter 15 was opened, the elevator mechanism 23 was operated, the quartz boat 24 was lowered, the shutter 15 was closed, and the silicon semiconductor substrate 40 was unloaded from the purge chamber 20.

【0055】(実施例2)実施例2は、実施例1の変形
である。実施例2が実施例1と相違する点は、第1のシ
リコン酸化膜形成工程及び第2のシリコン酸化膜形成工
程における湿式ガスにハロゲン元素(具体的には、塩
素)が含有されている点にある。尚、塩素は塩化水素の
形態であり、湿式ガス中に含有される塩化水素の濃度を
0.1容量%とした。より具体的には、実施例1の[工
程−130]と同様の工程において、濃度0.1容量%
の塩化水素を含有した湿式ガスを用いて第1のシリコン
酸化膜形成工程を実行した。また、実施例1の[工程−
140]と同様の工程において、濃度0.1容量%の塩
化水素を含有した湿式ガスを用いて第2のシリコン酸化
膜形成工程を実行した。以上の点を除き、実施例1と同
様の方法でシリコン酸化膜を形成した。
(Embodiment 2) Embodiment 2 is a modification of Embodiment 1. Example 2 is different from Example 1 in that the wet gas in the first silicon oxide film forming step and the second silicon oxide film forming step contains a halogen element (specifically, chlorine). It is in. Note that chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the wet gas was 0.1% by volume. More specifically, in the same step as [Step-130] of Example 1, the concentration was 0.1% by volume.
The first silicon oxide film forming step was performed using a wet gas containing hydrogen chloride. Further, [Step-
140], a second silicon oxide film forming step was performed using a wet gas containing 0.1% by volume of hydrogen chloride. Except for the above, a silicon oxide film was formed in the same manner as in Example 1.

【0056】(実施例3)実施例3も実施例1の変形で
ある。実施例3が実施例1と相違する点は、第2のシリ
コン酸化膜形成工程の完了後、雰囲気をハロゲン元素を
含有する不活性ガス雰囲気に切り替え、次いで、熱処理
を施すための雰囲気温度まで昇温した点にある。具体的
には、実施例1の[工程−150]と同様の工程におい
て、処理室10への湿式ガスの導入を中止した後、濃度
0.1容量%の塩化水素を含有した不活性ガス(窒素ガ
ス)をガス導入部12から処理室10内に導入しつつ、
処理室10の雰囲気温度をヒータ14によって850゜
Cまで昇温した。次いで、塩化水素を0.1容量%含有
する窒素ガスをガス導入部12から処理室10内に導入
し続け、30分間、熱処理を行った。以上の点を除き、
実施例1と同様の方法でシリコン酸化膜を形成した。
(Embodiment 3) Embodiment 3 is also a modification of Embodiment 1. Example 3 is different from Example 1 in that after completion of the second silicon oxide film forming step, the atmosphere is switched to an inert gas atmosphere containing a halogen element, and then the temperature is raised to an ambient temperature for performing heat treatment. It is in a warm spot. Specifically, in the same step as [Step-150] in Example 1, after the introduction of the wet gas into the processing chamber 10 is stopped, an inert gas containing 0.1% by volume of hydrogen chloride ( While introducing (nitrogen gas) from the gas introduction unit 12 into the processing chamber 10,
The temperature of the atmosphere in the processing chamber 10 was raised to 850 ° C. by the heater 14. Next, nitrogen gas containing 0.1% by volume of hydrogen chloride was continuously introduced into the processing chamber 10 from the gas introduction unit 12, and heat treatment was performed for 30 minutes. Except for the above points,
A silicon oxide film was formed in the same manner as in Example 1.

【0057】以上、本発明を好ましい実施例に基づき説
明したが、本発明はこれらの実施例に限定されるもので
はない。実施例にて説明した各種の条件やシリコン酸化
膜形成装置の構造は例示であり、適宜変更することがで
きる。第1の湿式ガス生成装置及び/又は第2の湿式ガ
ス生成装置における湿式ガスの生成法は、水素ガス燃焼
法だけでなく、純水の加熱によって水蒸気を生成する方
法、並びに、酸素ガス又は不活性ガスによって加熱純水
をバブリングすることで水蒸気を生成する方法、あるい
はこれらの酸化法を併用した方法とすることができる。
第1のシリコン酸化膜形成工程における酸化法と、第2
のシリコン酸化膜形成方法における酸化法とは、異なる
酸化法であってもよい。
Although the present invention has been described based on the preferred embodiments, the present invention is not limited to these embodiments. The various conditions and the structure of the silicon oxide film forming apparatus described in the embodiments are merely examples, and can be changed as appropriate. The method for generating a wet gas in the first and / or the second wet gas generator includes not only a hydrogen gas combustion method but also a method of generating water vapor by heating pure water, and a method of generating oxygen gas or non-oxygen gas. A method in which steam is generated by bubbling heated pure water with an active gas, or a method using these oxidation methods in combination can be employed.
An oxidation method in a first silicon oxide film forming step;
An oxidation method different from the oxidation method in the method of forming a silicon oxide film described above may be used.

【0058】本発明のシリコン酸化膜形成装置の変形例
の模式的な断面図を図7に示す。図1に示したシリコン
酸化膜形成装置と異なり、図7に示すシリコン酸化膜形
成装置においては、基体搬入出部には、不活性ガス導入
部及び不活性ガス排気部が設けられていない。その他の
構造は図1に示したシリコン酸化膜形成装置と同様とす
ることができるので、詳細な説明は省略する。
FIG. 7 is a schematic sectional view of a modified example of the silicon oxide film forming apparatus of the present invention. Unlike the silicon oxide film forming apparatus shown in FIG. 1, in the silicon oxide film forming apparatus shown in FIG. 7, an inert gas introduction section and an inert gas exhaust section are not provided in the substrate carry-in / out section. Other structures can be the same as those of the silicon oxide film forming apparatus shown in FIG. 1, and therefore, detailed description is omitted.

【0059】図7に示したシリコン酸化膜形成装置にお
いては、第1の湿式ガス生成装置である燃焼室30Bに
て生成した水蒸気は湿式ガス導入部26から基体搬入出
部25内に導入され、この湿式ガス導入部26と水平方
向に概ね対向した湿式ガス排気部27から排気される。
このシリコン酸化膜形成装置を用いてシリコン酸化膜を
形成する場合の、実施例1の[工程−120]と同様の
工程である第1のシリコン酸化膜形成工程の状態を図8
に模式的に示す。湿式ガス導入部26から基体搬入出部
25内に導入された湿式ガスの流れは、ガス導入部12
から処理室10内に導入されガス排気部13から排気さ
れる不活性ガスの流れ、及び、ガス導入部21からパー
ジ室20内に導入されガス排気部22から排気される不
活性ガスの流れによって、概ね制御される。
In the silicon oxide film forming apparatus shown in FIG. 7, the steam generated in the combustion chamber 30B, which is the first wet gas generator, is introduced from the wet gas introducing section 26 into the substrate carrying-in / out section 25, The gas is exhausted from a wet gas exhaust unit 27 which is substantially opposed to the wet gas introduction unit 26 in the horizontal direction.
FIG. 8 shows a state of a first silicon oxide film forming step, which is the same as [Step-120] of the first embodiment, when a silicon oxide film is formed using this silicon oxide film forming apparatus.
Is shown schematically in FIG. The flow of the wet gas introduced into the substrate carrying-in / out section 25 from the wet gas introduction section 26 is controlled by the gas introduction section 12.
Of the inert gas introduced into the processing chamber 10 from the gas exhaust unit 13 and exhausted from the gas exhaust unit 13, and the flow of the inert gas introduced into the purge chamber 20 from the gas introducing unit 21 and exhausted from the gas exhaust unit 22. , Generally controlled.

【0060】実施例においては、専らシリコン半導体基
板の表面にシリコン酸化膜を形成したが、基板の上に形
成された絶縁層の上に成膜されたエピタキシャルシリコ
ン層にシリコン酸化膜を形成してもよいし、半導体装置
の製造工程においてシリコン半導体基板表面に形成され
た選択エピタキシャル成長法にて形成されたエピタキシ
ャルシリコン層、基板の上に形成された絶縁層の上に成
膜されたポリシリコン層あるいはアモルファスシリコン
層等の表面にシリコン酸化膜を形成することもできる。
あるいは又、SOI構造におけるシリコン層の表面にシ
リコン酸化膜を形成してもよいし、半導体素子や半導体
素子の構成要素が形成された基板やこれらの上に成膜さ
れたシリコン層の表面にシリコン酸化膜を形成してもよ
い。更には、半導体素子や半導体素子の構成要素が形成
された基板やこれらの上に成膜された下地絶縁層の上に
形成されたシリコン層の表面にシリコン酸化膜を形成し
てもよい。シリコン酸化膜形成後の熱処理は必須ではな
く、場合によっては省略することができる。
In the embodiment, the silicon oxide film is formed exclusively on the surface of the silicon semiconductor substrate, but the silicon oxide film is formed on the epitaxial silicon layer formed on the insulating layer formed on the substrate. An epitaxial silicon layer formed on a silicon semiconductor substrate surface by a selective epitaxial growth method in a semiconductor device manufacturing process, a polysilicon layer formed on an insulating layer formed on the substrate, or A silicon oxide film can be formed on the surface of the amorphous silicon layer or the like.
Alternatively, a silicon oxide film may be formed on the surface of a silicon layer in an SOI structure, or a silicon element may be formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or on a surface of a silicon layer formed thereon. An oxide film may be formed. Furthermore, a silicon oxide film may be formed on a surface of a silicon element formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or a base insulating layer formed on the substrate. The heat treatment after the formation of the silicon oxide film is not essential and can be omitted in some cases.

【0061】実施例においては、0.1%フッ化水素酸
水溶液によりシリコン半導体基板40の表面洗浄を行っ
た後、シリコン半導体基板40をシリコン酸化膜形成装
置に搬入したが、シリコン半導体基板40の表面洗浄か
らシリコン酸化膜形成装置への搬入までの雰囲気を、不
活性ガス(例えば窒素ガス)雰囲気としてもよい。尚、
このような雰囲気は、例えば、シリコン半導体基板の表
面洗浄装置の雰囲気を不活性ガス雰囲気とし、且つ、不
活性ガスが充填された搬送用ボックス内にシリコン半導
体基板40を納めてシリコン酸化膜形成装置のパージ室
20に搬入する方法や、表面洗浄装置、シリコン酸化膜
形成装置、搬送路、ローダー及びアンローダーから構成
されたクラスターツール装置を用い、シリコン半導体基
板の表面洗浄装置からシリコン酸化膜形成装置のパージ
室20までを搬送路で結び、かかる表面洗浄装置及び搬
送路の雰囲気を不活性ガス雰囲気とする方法によって達
成することができる。
In the embodiment, after cleaning the surface of the silicon semiconductor substrate 40 with a 0.1% aqueous hydrofluoric acid solution, the silicon semiconductor substrate 40 is carried into the silicon oxide film forming apparatus. The atmosphere from the surface cleaning to the transfer to the silicon oxide film forming apparatus may be an inert gas (for example, nitrogen gas) atmosphere. still,
Such an atmosphere may be, for example, an inert gas atmosphere in a silicon semiconductor substrate surface cleaning apparatus and a silicon oxide film forming apparatus in which the silicon semiconductor substrate 40 is placed in a transport box filled with an inert gas. From the surface cleaning device for the silicon semiconductor substrate to the silicon oxide film forming device using a method for carrying the substrate into the purge chamber 20 and a cluster tool device including a surface cleaning device, a silicon oxide film forming device, a transport path, a loader and an unloader. This can be achieved by a method in which the purging chamber 20 is connected to the purge chamber 20 by a transfer path, and the atmosphere of the surface cleaning apparatus and the transfer path is set to an inert gas atmosphere.

【0062】あるいは又、0.1%フッ化水素酸水溶液
によりシリコン半導体基板40の表面洗浄を行う代わり
に、表1に例示する条件にて、無水フッ化水素ガスを用
いた気相洗浄法によってシリコン半導体基板40の表面
洗浄を行ってもよい。尚、パーティクルの発生防止のた
めにメタノールを添加する。あるいは又、表2に例示す
る条件にて、塩化水素ガスを用いた気相洗浄法によって
シリコン半導体基板40の表面洗浄を行ってもよい。
尚、シリコン半導体基板40の表面洗浄開始前あるいは
表面洗浄完了後における表面洗浄装置内の雰囲気や搬送
路等内の雰囲気は、不活性ガス雰囲気としてもよいし、
例えば1.3×10-1Pa(10-3Torr)程度の真空雰
囲気としてもよい。尚、搬送路等内の雰囲気を真空雰囲
気とする場合には、シリコン半導体基板を搬入する際の
シリコン酸化膜形成装置のパージ室20の雰囲気を例え
ば1.3×10-1Pa(10-3Torr)程度の真空雰囲気
としておき、シリコン半導体基板の搬入完了後、パージ
室20の雰囲気を大気圧の不活性ガス(例えば窒素ガ
ス)雰囲気とすればよい。これにより、シリコン酸化膜
の形成前に水素やフッ素で終端されたシリコン層の表面
を汚染等の無い状態に保つことができる結果、形成され
たシリコン酸化膜中に水分や有機物、あるいは又、Si
−OHが取り込まれ、形成されたシリコン酸化膜の特性
が低下しあるいは欠陥部分が発生することを、効果的に
防ぐことができる。
Alternatively, instead of cleaning the surface of the silicon semiconductor substrate 40 with a 0.1% hydrofluoric acid aqueous solution, a gas phase cleaning method using anhydrous hydrogen fluoride gas is performed under the conditions shown in Table 1. The surface of the silicon semiconductor substrate 40 may be cleaned. Note that methanol is added to prevent generation of particles. Alternatively, the surface of the silicon semiconductor substrate 40 may be cleaned by a gas phase cleaning method using hydrogen chloride gas under the conditions exemplified in Table 2.
Before the surface cleaning of the silicon semiconductor substrate 40 is started or after the surface cleaning is completed, the atmosphere in the surface cleaning apparatus or the atmosphere in the transfer path may be an inert gas atmosphere,
For example, a vacuum atmosphere of about 1.3 × 10 −1 Pa (10 −3 Torr) may be used. When the atmosphere in the transfer path or the like is a vacuum atmosphere, the atmosphere in the purge chamber 20 of the silicon oxide film forming apparatus when the silicon semiconductor substrate is carried in is, for example, 1.3 × 10 −1 Pa (10 −3). A vacuum atmosphere of about Torr may be set, and after the loading of the silicon semiconductor substrate is completed, the atmosphere of the purge chamber 20 may be an inert gas (for example, nitrogen gas) atmosphere at atmospheric pressure. As a result, the surface of the silicon layer terminated with hydrogen or fluorine before the formation of the silicon oxide film can be kept in a state free of contamination and the like, and as a result, moisture, organic substances, or Si
It is possible to effectively prevent the characteristics of the formed silicon oxide film from deteriorating or generating a defective portion due to the incorporation of -OH.

【0063】[0063]

【表1】 無水フッ化水素ガス:300sccm メタノール蒸気 :80sccm 窒素ガス :1000sccm 圧力 :0.3Pa 温度 :60゜C[Table 1] Anhydrous hydrogen fluoride gas: 300 sccm Methanol vapor: 80 sccm Nitrogen gas: 1000 sccm Pressure: 0.3 Pa Temperature: 60 ° C

【0064】[0064]

【表2】 塩化水素ガス/窒素ガス:1容量% 温度 :800゜C[Table 2] Hydrogen chloride gas / nitrogen gas: 1% by volume Temperature: 800 ° C

【0065】[0065]

【発明の効果】本発明においては、基体搬入出部を経由
して処理室に基体を搬入するとき、シリコン層の表面に
シリコン酸化膜を形成するので、従来のシリコン酸化膜
形成方法と比較してもシリコン酸化膜の全形成に要する
時間が左程延長することがない。また、本発明のシリコ
ン酸化膜の形成方法においては、シリコン層の表面から
シリコン原子が脱離しない雰囲気温度にてシリコン層の
表面にシリコン酸化膜の形成を開始するので、シリコン
層の表面に凹凸(荒れ)が生じることを防止し得る。更
には、シリコン酸化膜が形成された状態で第2のシリコ
ン酸化膜形成工程を開始するので、第1のシリコン酸化
膜形成工程における雰囲気温度から第2のシリコン酸化
膜形成工程における雰囲気温度まで昇温したときにも、
シリコン層の表面に凹凸(荒れ)が生じることを防止し
得る。しかも、最終的に形成されるシリコン酸化膜中に
は信頼性の劣るドライ酸化膜が含まれず、優れた特性を
有するシリコン酸化膜を形成することができる。それ
故、チャネル移動度の低下を防止でき、MOS型トラン
ジスタ素子の駆動電流の劣化が生じ難く、また、フラッ
シュメモリ等でデータリテンション特性の劣化を引き起
こすストレスリーク現象の発生を抑制することができ、
長期信頼性に優れた極薄の例えばゲート酸化膜の形成が
可能となる。
According to the present invention, a silicon oxide film is formed on the surface of a silicon layer when a substrate is carried into a processing chamber via a substrate carrying-in / out portion, and therefore, compared with a conventional silicon oxide film forming method. However, the time required for the entire formation of the silicon oxide film is not prolonged to the left. In the method for forming a silicon oxide film of the present invention, the formation of the silicon oxide film on the surface of the silicon layer is started at an ambient temperature at which silicon atoms are not desorbed from the surface of the silicon layer. (Roughness) can be prevented. Further, since the second silicon oxide film forming step is started with the silicon oxide film formed, the temperature rises from the ambient temperature in the first silicon oxide film forming step to the ambient temperature in the second silicon oxide film forming step. Even when warm,
Irregularities (roughness) can be prevented from being generated on the surface of the silicon layer. In addition, the finally formed silicon oxide film does not include a dry oxide film having low reliability, and a silicon oxide film having excellent characteristics can be formed. Therefore, a decrease in channel mobility can be prevented, the drive current of the MOS transistor element hardly deteriorates, and the occurrence of a stress leak phenomenon that causes deterioration of data retention characteristics in a flash memory or the like can be suppressed.
It is possible to form a very thin, for example, gate oxide film having excellent long-term reliability.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の縦型方式のシリコン酸化膜形成装置の
模式的な断面図である。
FIG. 1 is a schematic sectional view of a vertical type silicon oxide film forming apparatus of the present invention.

【図2】基体搬入出部を水平面で切断したときの概念図
である。
FIG. 2 is a conceptual diagram when a substrate loading / unloading section is cut along a horizontal plane.

【図3】実施例1のシリコン酸化膜の形成方法を説明す
るためのシリコン酸化膜形成装置等の概念図である。
FIG. 3 is a conceptual diagram of a silicon oxide film forming apparatus and the like for describing a method of forming a silicon oxide film according to a first embodiment.

【図4】図3に引き続き、実施例1のシリコン酸化膜の
形成方法を説明するためのシリコン酸化膜形成装置等の
概念図である。
FIG. 4 is a conceptual diagram of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film according to the first embodiment, following FIG. 3;

【図5】図4に引き続き、実施例1のシリコン酸化膜の
形成方法を説明するためのシリコン酸化膜形成装置等の
概念図である。
FIG. 5 is a conceptual diagram of a silicon oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film according to the first embodiment, following FIG. 4;

【図6】実施例1のシリコン酸化膜の形成方法を説明す
るためのシリコン半導体基板等の模式的な一部断面図で
ある。
FIG. 6 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for describing a method of forming a silicon oxide film of Example 1.

【図7】本発明の縦型方式のシリコン酸化膜形成装置の
変形例の模式的な断面図である。
FIG. 7 is a schematic sectional view of a modification of the vertical type silicon oxide film forming apparatus of the present invention.

【図8】図7に示した本発明の縦型方式のシリコン酸化
膜形成装置の変形例における第1のシリコン酸化膜形成
工程を示す概念図である。
FIG. 8 is a conceptual diagram showing a first silicon oxide film forming step in a modification of the vertical type silicon oxide film forming apparatus of the present invention shown in FIG. 7;

【図9】従来の縦型方式のシリコン酸化膜形成装置(熱
酸化炉)の模式的な断面図である。
FIG. 9 is a schematic sectional view of a conventional vertical type silicon oxide film forming apparatus (thermal oxidation furnace).

【図10】従来のシリコン酸化膜の形成方法を説明する
ためのシリコン酸化膜形成装置等の概念図である。
FIG. 10 is a conceptual diagram of a silicon oxide film forming apparatus and the like for describing a conventional silicon oxide film forming method.

【図11】図10に引き続き、従来のシリコン酸化膜の
形成方法を説明するためのシリコン酸化膜形成装置等の
概念図である。
FIG. 11 is a conceptual diagram of a silicon oxide film forming apparatus and the like for explaining a conventional method of forming a silicon oxide film, following FIG.

【図12】図11に引き続き、従来のシリコン酸化膜の
形成方法を説明するためのシリコン酸化膜形成装置等の
概念図である。
FIG. 12 is a conceptual diagram of a silicon oxide film forming apparatus and the like for explaining a conventional method of forming a silicon oxide film, following FIG. 11;

【符号の説明】[Explanation of symbols]

10・・・処理室、11・・・ガス流路、12・・・ガ
ス導入部、13・・・ガス排気部、14・・・ヒータ、
15・・・シャッター、16・・・均熱管、20・・・
パージ室、21・・・ガス導入部、22・・・ガス排気
部、23・・・エレベータ機構、24・・・石英ボー
ト、25・・・基体搬入出部、26・・・湿式ガス導入
部、27・・・湿式ガス排気部、28A,28B・・・
不活性ガス導入部、29A,29B・・・不活性ガス排
気部、30A,30B・・・燃焼室、31A,31B,
32A,32B,33A,33B・・・配管、40・・
・シリコン半導体基板、41・・・素子分離領域、42
・・・シリコン酸化膜
DESCRIPTION OF SYMBOLS 10 ... Processing chamber, 11 ... Gas flow path, 12 ... Gas introduction part, 13 ... Gas exhaust part, 14 ... Heater,
15 ... shutter, 16 ... heat equalizing tube, 20 ...
Purge chamber, 21: gas introduction unit, 22: gas exhaust unit, 23: elevator mechanism, 24: quartz boat, 25: substrate loading / unloading unit, 26: wet gas introduction unit , 27 ... wet gas exhaust unit, 28A, 28B ...
Inert gas introduction part, 29A, 29B ... inert gas exhaust part, 30A, 30B ... combustion chamber, 31A, 31B,
32A, 32B, 33A, 33B ... piping, 40 ...
.Silicon semiconductor substrate, 41... Element isolation region, 42
... Silicon oxide film

Claims (28)

【特許請求の範囲】[Claims] 【請求項1】(イ)シリコン層の表面にシリコン酸化膜
を形成するための処理室、 (ロ)シリコン層を有する基体を該処理室に搬入出する
ために、該処理室に設けられた基体搬入出部、 (ハ)該基体搬入出部に接続され、基体搬入出部を湿式
ガス雰囲気とするための第1の湿式ガス生成装置、及
び、 (ニ)該処理室に接続され、処理室内を湿式ガス雰囲気
とするための第2の湿式ガス生成装置、を備えているこ
とを特徴とするシリコン酸化膜形成装置。
1. A processing chamber for forming a silicon oxide film on the surface of a silicon layer. 2. A processing chamber for loading and unloading a substrate having a silicon layer into and from the processing chamber. (C) a first wet gas generating device connected to the substrate carry-in / out portion for setting the substrate carry-in / out portion to a wet gas atmosphere; and (d) a processing chamber connected to the processing chamber. A silicon oxide film forming apparatus, comprising: a second wet gas generator for making a room a wet gas atmosphere.
【請求項2】処理室において、各基体を水平に保持し、
且つ、複数の基体を垂直方法に配置した状態で、各基体
におけるシリコン層の表面にシリコン酸化膜を形成し、 基体搬入出部は処理室の下部に設けられており、 基体は垂直方向に移動させられ、基体搬入出部を経由し
て処理室に搬入出され、 基体搬入出部には、第1の湿式ガス生成装置に接続され
た湿式ガス導入部と、該湿式ガス導入部と水平方向に概
ね対向した湿式ガス排気部が設けられていることを特徴
とする請求項1に記載のシリコン酸化膜形成装置。
2. In a processing chamber, each substrate is held horizontally,
In addition, a silicon oxide film is formed on the surface of the silicon layer in each substrate in a state where a plurality of substrates are arranged in a vertical manner, and the substrate loading / unloading section is provided at a lower portion of the processing chamber, and the substrate moves vertically. The substrate is carried into and out of the processing chamber via the substrate carrying-in / out part. The substrate carrying-in / out part has a wet gas introduction part connected to the first wet gas generating device, and a horizontal direction with the wet gas introduction part. 2. The silicon oxide film forming apparatus according to claim 1, further comprising a wet gas exhaust unit substantially facing the gas exhaust unit.
【請求項3】基体搬入出部には、不活性ガス導入部及び
不活性ガス排気部が更に設けられており、 不活性ガス導入部は、湿式ガス導入部の上方及び下方に
設けられており、 不活性ガス排気部は、これらの不活性ガス導入部と水平
方向に概ね対向して配設されていることを特徴とする請
求項2に記載のシリコン酸化膜形成装置。
3. An inert gas introduction section and an inert gas exhaust section are further provided in the substrate carry-in / out section, and the inert gas introduction section is provided above and below the wet gas introduction section. 3. The silicon oxide film forming apparatus according to claim 2, wherein the inert gas exhaust unit is disposed substantially facing the inert gas introduction unit in a horizontal direction.
【請求項4】基体搬入出部を経由して処理室に基体が搬
入されるとき、第1の湿式ガス生成装置にて生成した湿
式ガスを基体搬入出部に導入して基体搬入出部を湿式ガ
ス雰囲気とし、以て、シリコン層の表面にシリコン酸化
膜を形成することを特徴とする請求項2に記載のシリコ
ン酸化膜形成装置。
4. When the substrate is carried into the processing chamber via the substrate carrying-in / out section, the wet gas generated by the first wet gas generating device is introduced into the substrate carrying-in / out section, and the substrate carrying-in / out section is moved. 3. The silicon oxide film forming apparatus according to claim 2, wherein a wet gas atmosphere is used, and a silicon oxide film is formed on a surface of the silicon layer.
【請求項5】基体搬入出部における湿式ガス雰囲気の温
度は、シリコン層の表面からシリコン原子が脱離しない
温度であることを特徴とする請求項4に記載のシリコン
酸化膜形成装置。
5. The silicon oxide film forming apparatus according to claim 4, wherein the temperature of the wet gas atmosphere in the substrate loading / unloading section is a temperature at which silicon atoms do not desorb from the surface of the silicon layer.
【請求項6】(イ)シリコン層の表面にシリコン酸化膜
を形成するための処理室、 (ロ)シリコン層を有する基体を該処理室に搬入出する
ために、該処理室に設けられた基体搬入出部、 (ハ)該基体搬入出部に接続され、基体搬入出部を湿式
ガス雰囲気とするための第1の湿式ガス生成装置、及
び、 (ニ)該処理室に接続され、処理室内を湿式ガス雰囲気
とするための第2の湿式ガス生成装置、 を備えたシリコン酸化膜形成装置を用いたシリコン酸化
膜の形成方法であって、(A)基体搬入出部を経由して
処理室に基体を搬入するとき、第1の湿式ガス生成装置
にて生成した湿式ガスを基体搬入出部に導入して基体搬
入出部を湿式ガス雰囲気とし、且つ、湿式ガス雰囲気の
温度をシリコン層の表面からシリコン原子が脱離しない
温度とした状態で、シリコン層の表面にシリコン酸化膜
を形成する第1のシリコン酸化膜形成工程と、 (B)基体を処理室内に搬入した後、第2の湿式ガス生
成装置にて生成した湿式ガスを処理室に導入して処理室
を湿式ガス雰囲気とすることによって、シリコン層の表
面にシリコン酸化膜を更に形成する第2のシリコン酸化
膜形成工程、から成ることを特徴とするシリコン酸化膜
の形成方法。
6. A processing chamber for forming a silicon oxide film on the surface of a silicon layer. 2. A processing chamber for loading and unloading a substrate having a silicon layer into and from the processing chamber. (C) a first wet gas generating device connected to the substrate carry-in / out portion for setting the substrate carry-in / out portion to a wet gas atmosphere; and (d) a processing chamber connected to the processing chamber. A method for forming a silicon oxide film using a silicon oxide film forming apparatus comprising: a second wet gas generating device for setting a room to a wet gas atmosphere, wherein (A) processing is performed via a substrate carrying-in / out portion. When the substrate is loaded into the chamber, the wet gas generated by the first wet gas generator is introduced into the substrate loading / unloading section to set the substrate loading / unloading section to a wet gas atmosphere, and the temperature of the wet gas atmosphere is changed to a silicon layer. Temperature at which silicon atoms do not desorb from the surface of A first silicon oxide film forming step of forming a silicon oxide film on the surface of the silicon layer in the state; and (B) transporting the substrate into the processing chamber, and then discharging the wet gas generated by the second wet gas generator. Forming a silicon oxide film on the surface of the silicon layer by introducing the processing chamber into a wet gas atmosphere by introducing the silicon oxide film into the processing chamber. Method.
【請求項7】シリコン層の表面からシリコン原子が脱離
しない雰囲気温度は、シリコン層表面を終端している原
子とシリコン原子との結合が切断されない温度であるこ
とを特徴とする請求項6に記載のシリコン酸化膜の形成
方法。
7. An atmosphere temperature at which silicon atoms are not desorbed from the surface of the silicon layer is a temperature at which a bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. The method for forming a silicon oxide film according to the above.
【請求項8】シリコン層の表面からシリコン原子が脱離
しない雰囲気温度は、該シリコン層表面のSi−H結合
が切断されない温度であることを特徴とする請求項7に
記載のシリコン酸化膜の形成方法。
8. The silicon oxide film according to claim 7, wherein the ambient temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which Si—H bonds on the surface of the silicon layer are not broken. Forming method.
【請求項9】シリコン層の表面からシリコン原子が脱離
しない雰囲気温度は、該シリコン層表面のSi−F結合
が切断されない温度であることを特徴とする請求項7に
記載のシリコン酸化膜の形成方法。
9. The silicon oxide film according to claim 7, wherein the ambient temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which Si—F bonds on the surface of the silicon layer are not broken. Forming method.
【請求項10】第2のシリコン酸化膜形成工程における
雰囲気温度は、第1のシリコン酸化膜形成工程における
雰囲気温度よりも高いことを特徴とする請求項6に記載
のシリコン酸化膜の形成方法。
10. The method for forming a silicon oxide film according to claim 6, wherein the ambient temperature in the second silicon oxide film forming step is higher than the ambient temperature in the first silicon oxide film forming step.
【請求項11】第1の湿式ガス生成装置及び/又は第2
の湿式ガス生成装置における湿式ガスの生成法は、水素
ガス燃焼法、純水の加熱によって水蒸気を生成する方
法、並びに、酸素ガス又は不活性ガスによって加熱純水
をバブリングすることで水蒸気を生成する方法の少なく
とも1種の生成法であることを特徴とする請求項6に記
載のシリコン酸化膜の形成方法。
11. The first wet gas generator and / or the second wet gas generator.
The method of generating a wet gas in the wet gas generator of the present invention is a hydrogen gas combustion method, a method of generating steam by heating pure water, and a method of generating steam by bubbling heated pure water with an oxygen gas or an inert gas. 7. The method for forming a silicon oxide film according to claim 6, wherein the method is at least one of the methods.
【請求項12】第1のシリコン酸化膜形成工程、第2の
シリコン酸化膜形成工程、又は、第1のシリコン酸化膜
形成工程及び第2のシリコン酸化膜形成工程における湿
式ガスにはハロゲン元素が含有されていることを特徴と
する請求項6に記載のシリコン酸化膜の形成方法。
12. A halogen element is contained in a wet gas in the first silicon oxide film forming step, the second silicon oxide film forming step, or the first silicon oxide film forming step and the second silicon oxide film forming step. The method for forming a silicon oxide film according to claim 6, wherein the silicon oxide film is contained.
【請求項13】ハロゲン元素は塩素であることを特徴と
する請求項12に記載のシリコン酸化膜の形成方法。
13. The method for forming a silicon oxide film according to claim 12, wherein the halogen element is chlorine.
【請求項14】塩素は塩化水素の形態であり、湿式ガス
中に含有される塩化水素の濃度は0.02乃至10容量
%であることを特徴とする請求項13に記載のシリコン
酸化膜の形成方法。
14. The silicon oxide film according to claim 13, wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the wet gas is 0.02 to 10% by volume. Forming method.
【請求項15】第1のシリコン酸化膜形成工程、第2の
シリコン酸化膜形成工程、又は、第1のシリコン酸化膜
形成工程及び第2のシリコン酸化膜形成工程における湿
式ガスは不活性ガスで希釈されていることを特徴とする
請求項6に記載のシリコン酸化膜の形成方法。
15. The wet gas in the first silicon oxide film forming step, the second silicon oxide film forming step, or the first silicon oxide film forming step and the second silicon oxide film forming step is an inert gas. The method for forming a silicon oxide film according to claim 6, wherein the silicon oxide film is diluted.
【請求項16】第2のシリコン酸化膜形成工程の完了
後、形成されたシリコン酸化膜に熱処理を施すことを特
徴とする請求項6に記載のシリコン酸化膜の形成方法。
16. The method for forming a silicon oxide film according to claim 6, wherein a heat treatment is performed on the formed silicon oxide film after the completion of the second silicon oxide film forming step.
【請求項17】熱処理の雰囲気は、ハロゲン元素を含有
する不活性ガス雰囲気であることを特徴とする請求項1
6に記載のシリコン酸化膜の形成方法。
17. An atmosphere for the heat treatment is an inert gas atmosphere containing a halogen element.
7. The method for forming a silicon oxide film according to 6.
【請求項18】ハロゲン元素は塩素であることを特徴と
する請求項17に記載のシリコン酸化膜の形成方法。
18. The method according to claim 17, wherein the halogen element is chlorine.
【請求項19】塩素は塩化水素の形態であり、不活性ガ
ス中に含有される塩化水素の濃度は0.02乃至10容
量%であることを特徴とする請求項18に記載のシリコ
ン酸化膜の形成方法。
19. The silicon oxide film according to claim 18, wherein chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Formation method.
【請求項20】熱処理は700乃至950゜Cの温度で
行われることを特徴とする請求項16に記載のシリコン
酸化膜の形成方法。
20. The method according to claim 16, wherein the heat treatment is performed at a temperature of 700 to 950 ° C.
【請求項21】熱処理を処理室内で行うことを特徴とす
る請求項20に記載のシリコン酸化膜の形成方法。
21. The method for forming a silicon oxide film according to claim 20, wherein the heat treatment is performed in a processing chamber.
【請求項22】形成されたシリコン酸化膜に熱処理を施
す際の雰囲気温度は、第2のシリコン酸化膜形成工程の
雰囲気温度よりも高いことを特徴とする請求項16に記
載のシリコン酸化膜の形成方法。
22. The silicon oxide film according to claim 16, wherein an ambient temperature at the time of performing a heat treatment on the formed silicon oxide film is higher than an ambient temperature in the second silicon oxide film forming step. Forming method.
【請求項23】第2のシリコン酸化膜形成工程の完了
後、雰囲気をハロゲン元素を含有する不活性ガス雰囲気
に切り替え、次いで、熱処理を施すための雰囲気温度ま
で昇温することを特徴とする請求項22に記載のシリコ
ン酸化膜の形成方法。
23. After the completion of the second silicon oxide film forming step, the atmosphere is switched to an inert gas atmosphere containing a halogen element, and then the temperature is increased to an ambient temperature for performing a heat treatment. Item 23. The method for forming a silicon oxide film according to Item 22.
【請求項24】ハロゲン元素は塩素であることを特徴と
する請求項23に記載のシリコン酸化膜の形成方法。
24. The method according to claim 23, wherein the halogen element is chlorine.
【請求項25】塩素は塩化水素の形態であり、不活性ガ
ス中に含有される塩化水素の濃度は0.02乃至10容
量%であることを特徴とする請求項24に記載のシリコ
ン酸化膜の形成方法。
25. The silicon oxide film according to claim 24, wherein the chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Formation method.
【請求項26】シリコン酸化膜を形成する前の雰囲気
は、不活性ガス雰囲気であることを特徴とする請求項6
に記載のシリコン酸化膜の形成方法。
26. An atmosphere before forming a silicon oxide film is an inert gas atmosphere.
3. The method for forming a silicon oxide film according to item 1.
【請求項27】シリコン酸化膜を形成する前に、シリコ
ン層表面を洗浄する工程を含み、表面洗浄後のシリコン
層を大気に曝すことなく、シリコン酸化膜の形成を行う
ことを特徴とする請求項6に記載のシリコン酸化膜の形
成方法。
27. The method according to claim 27, further comprising the step of cleaning the surface of the silicon layer before forming the silicon oxide film, wherein the silicon oxide film is formed without exposing the silicon layer after the surface cleaning to the atmosphere. Item 7. A method for forming a silicon oxide film according to Item 6.
【請求項28】シリコン層は、基板上に形成されたエピ
タキシャルシリコン層から成ることを特徴とする請求項
6に記載のシリコン酸化膜の形成方法。
28. The method according to claim 6, wherein the silicon layer comprises an epitaxial silicon layer formed on the substrate.
JP30152497A 1997-11-04 1997-11-04 Method and device for forming silicon oxide film Pending JPH11135492A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30152497A JPH11135492A (en) 1997-11-04 1997-11-04 Method and device for forming silicon oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30152497A JPH11135492A (en) 1997-11-04 1997-11-04 Method and device for forming silicon oxide film

Publications (1)

Publication Number Publication Date
JPH11135492A true JPH11135492A (en) 1999-05-21

Family

ID=17897977

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30152497A Pending JPH11135492A (en) 1997-11-04 1997-11-04 Method and device for forming silicon oxide film

Country Status (1)

Country Link
JP (1) JPH11135492A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855642B2 (en) 1997-03-05 2005-02-15 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7700460B2 (en) 2005-11-14 2010-04-20 Seiko Epson Corporation Semiconductor device fabrication method and electronic device fabrication method
JP2011512031A (en) * 2008-02-12 2011-04-14 チェ,キュ−ジョン Batch type atomic layer deposition equipment
US7935585B2 (en) 2006-10-11 2011-05-03 Seiko Epson Corporation Method of fabricating semiconductor device and method for fabricating electronic device
US8034175B2 (en) 2005-04-01 2011-10-11 Seiko Epson Corporation Apparatus and method for manufacturing semiconductor device, and electronic apparatus
JP2014138073A (en) * 2013-01-16 2014-07-28 Tokyo Electron Ltd Method for forming silicon oxide film

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855642B2 (en) 1997-03-05 2005-02-15 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US6962881B2 (en) 1997-03-05 2005-11-08 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US6962880B2 (en) 1997-03-05 2005-11-08 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7008880B2 (en) 1997-03-05 2006-03-07 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7053007B2 (en) 1997-03-05 2006-05-30 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7250376B2 (en) 1997-03-05 2007-07-31 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7799690B2 (en) 1997-03-05 2010-09-21 Renesas Electronics Corporation Method for fabricating semiconductor integrated circuit device
US8034175B2 (en) 2005-04-01 2011-10-11 Seiko Epson Corporation Apparatus and method for manufacturing semiconductor device, and electronic apparatus
US7700460B2 (en) 2005-11-14 2010-04-20 Seiko Epson Corporation Semiconductor device fabrication method and electronic device fabrication method
US7935585B2 (en) 2006-10-11 2011-05-03 Seiko Epson Corporation Method of fabricating semiconductor device and method for fabricating electronic device
JP2011512031A (en) * 2008-02-12 2011-04-14 チェ,キュ−ジョン Batch type atomic layer deposition equipment
JP2014138073A (en) * 2013-01-16 2014-07-28 Tokyo Electron Ltd Method for forming silicon oxide film

Similar Documents

Publication Publication Date Title
US6589349B2 (en) Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6297172B1 (en) Method of forming oxide film
US6797323B1 (en) Method of forming silicon oxide layer
JP2000332009A (en) Method of forming insulating film and manufacture of p-type semiconductor element
JPH11162970A (en) Method of formation of oxide film
JPH11135492A (en) Method and device for forming silicon oxide film
JPH11204517A (en) Forming method of silicon oxide film and silicon oxide film forming equipment
JPH11186255A (en) Method of forming silicon oxide film
JP4403321B2 (en) Method for forming oxide film and method for manufacturing p-type semiconductor element
JP3757566B2 (en) Silicon oxide film forming method and oxide film forming apparatus
JPH11186248A (en) Silicon oxide film forming method and equipment
JP3800788B2 (en) Method for forming silicon oxide film
JP3588994B2 (en) Method of forming oxide film and method of manufacturing p-type semiconductor device
JP2000068266A (en) Method for forming oxide film
JP3952542B2 (en) Method for forming silicon oxide film
JPH11297689A (en) Heat treatment of silicon insulating film and manufacture of semiconductor device
JP2000216156A (en) Formation of silicon nitride oxide film and manufacture of p type semiconductor element
JPH10284484A (en) Formation of silicon oxide film
JP2003031571A (en) Method for forming oxidized film of silicon carbide semiconductor
JPH1174264A (en) Formation of silicon oxide film
JP2001127280A (en) Method for manufacturing semiconductor device and p- channel type semiconductor device
JPH1167749A (en) Formation of silicon oxide film and drying/film forming device
JPH11288933A (en) Method for forming insulation film and manufacture of p-type semiconductor element
JPH10289905A (en) Formation of silicon oxide film
JPH07153695A (en) Method of forming film