JPH10135326A - Bpsgリフローおよびこれによって形成される集積回路チップに関連するパターンひずみを抑制する方法 - Google Patents
Bpsgリフローおよびこれによって形成される集積回路チップに関連するパターンひずみを抑制する方法Info
- Publication number
- JPH10135326A JPH10135326A JP9272835A JP27283597A JPH10135326A JP H10135326 A JPH10135326 A JP H10135326A JP 9272835 A JP9272835 A JP 9272835A JP 27283597 A JP27283597 A JP 27283597A JP H10135326 A JPH10135326 A JP H10135326A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- contacts
- flowable
- high temperature
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims description 46
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 30
- 238000000137 annealing Methods 0.000 claims abstract description 18
- 239000000758 substrate Substances 0.000 claims abstract description 16
- 238000000059 patterning Methods 0.000 claims abstract description 15
- 235000012239 silicon dioxide Nutrition 0.000 claims abstract description 15
- 239000000377 silicon dioxide Substances 0.000 claims abstract description 15
- 238000005468 ion implantation Methods 0.000 claims abstract description 11
- 230000009969 flowable effect Effects 0.000 claims description 21
- 239000003989 dielectric material Substances 0.000 claims description 20
- 238000000151 deposition Methods 0.000 claims description 15
- 239000002243 precursor Substances 0.000 claims description 15
- 230000009977 dual effect Effects 0.000 claims description 12
- 239000012530 fluid Substances 0.000 claims description 11
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 9
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 238000010438 heat treatment Methods 0.000 claims description 4
- 238000005530 etching Methods 0.000 abstract description 5
- 239000000463 material Substances 0.000 abstract description 5
- 150000002500 ions Chemical class 0.000 abstract description 2
- 239000011521 glass Substances 0.000 abstract 4
- 239000005368 silicate glass Substances 0.000 abstract 3
- 229910052681 coesite Inorganic materials 0.000 abstract 2
- 229910052906 cristobalite Inorganic materials 0.000 abstract 2
- 229910052682 stishovite Inorganic materials 0.000 abstract 2
- 229910052905 tridymite Inorganic materials 0.000 abstract 2
- 230000003213 activating effect Effects 0.000 abstract 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 22
- 229910004298 SiO 2 Inorganic materials 0.000 description 16
- 230000008569 process Effects 0.000 description 9
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 230000008021 deposition Effects 0.000 description 7
- 238000005498 polishing Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000001878 scanning electron micrograph Methods 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- 239000005388 borosilicate glass Substances 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 230000004913 activation Effects 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910015900 BF3 Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000000075 oxide glass Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02129—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24802—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
- Y10T428/24926—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
(57)【要約】
【課題】 高温接合焼きなまし中のホウリンケイ酸ガラ
ス(BPSG)のリフローおよび二酸化ケイ素の収縮の
結果発生するパターンひずみをなくす。 【解決手段】 BPSG層および二酸化ケイ素層によっ
て覆われたウエハを、パターン形成の前に高温焼きなま
しにさらすことによってパターンひずみを抑制する方法
を開示する。高温焼きなましによって、パターン形成の
前に、ドーピングされない二酸化ケイ素の密度が高ま
り、その結果、ドーピングされない二酸化ケイ素の収縮
が、パターン形成ステップに影響しなくなる。
ス(BPSG)のリフローおよび二酸化ケイ素の収縮の
結果発生するパターンひずみをなくす。 【解決手段】 BPSG層および二酸化ケイ素層によっ
て覆われたウエハを、パターン形成の前に高温焼きなま
しにさらすことによってパターンひずみを抑制する方法
を開示する。高温焼きなましによって、パターン形成の
前に、ドーピングされない二酸化ケイ素の密度が高ま
り、その結果、ドーピングされない二酸化ケイ素の収縮
が、パターン形成ステップに影響しなくなる。
Description
【0001】
【発明の属する技術分野】本発明は、全般的には集積回
路(IC)チップの製造に関し、具体的には、後続層の
アニール処理中のホウリンケイ酸ガラス(BPSG)誘
電体層のリフローに関連するパターンひずみを抑止する
方法に関する。
路(IC)チップの製造に関し、具体的には、後続層の
アニール処理中のホウリンケイ酸ガラス(BPSG)誘
電体層のリフローに関連するパターンひずみを抑止する
方法に関する。
【0002】
【従来の技術】超大規模集積回路および超超大規模集積
回路の現在の段階では、現在の生産効率を維持または改
善しつつ、すなわち、生産処理中に発生するさまざまな
誤りのために不良になるICチップの数を減らしつつ、
半導体デバイス内の空間を効率的に利用するために新技
法が継続的に開発されている。
回路の現在の段階では、現在の生産効率を維持または改
善しつつ、すなわち、生産処理中に発生するさまざまな
誤りのために不良になるICチップの数を減らしつつ、
半導体デバイス内の空間を効率的に利用するために新技
法が継続的に開発されている。
【0003】ICチップの製造においては、相互接続用
の接点が、半導体デバイスが形成される能動半導体材料
と、相互接続線の間に設けられる。これらの接点は、通
常は、まず半導体デバイス上にホウリンケイ酸ガラス
(BPSG)誘電体層を付着することによって形成され
る。BPSG材料が選択されるのは、デバイス上に流す
ことができる、許容可能な絶縁体だからである。材料の
流動性が必要になるのは、デバイスのアスペクト比が比
較的高く、デバイス間のすべての区域をボイド形成なし
に充填しなければならないからである。BPSGは、リ
フローの後に、他の絶縁材料よりも高い比率のボイドを
充填することが、以前から注目されてきた。
の接点が、半導体デバイスが形成される能動半導体材料
と、相互接続線の間に設けられる。これらの接点は、通
常は、まず半導体デバイス上にホウリンケイ酸ガラス
(BPSG)誘電体層を付着することによって形成され
る。BPSG材料が選択されるのは、デバイス上に流す
ことができる、許容可能な絶縁体だからである。材料の
流動性が必要になるのは、デバイスのアスペクト比が比
較的高く、デバイス間のすべての区域をボイド形成なし
に充填しなければならないからである。BPSGは、リ
フローの後に、他の絶縁材料よりも高い比率のボイドを
充填することが、以前から注目されてきた。
【0004】接点と相互接続は、二重ダマシーン処理を
使用して形成される。電界効果トランジスタ、ゲート、
ダイオードなどのデバイスを有する半導体基板が提供さ
れる。BPSGは、基板と関連微細構成の上に付着さ
れ、高アスペクト比の形状を充填するためにリフローさ
れ、化学的機械研摩(CMP)によって平坦化される。
低温酸化物層が、BPSG層の上に付着され、後続の金
属層のパターン形成中に研摩止めとして働く。その後、
フォトレジストのマスク層を酸化物の上に設け、接触区
域内の下にある酸化物が露出するようにパターン形成さ
れる。露出された酸化物とBPSGは、エッチングさ
れ、コンタクト・ホールを形成する。第2のマスク層を
酸化物の上に形成し、相互接続区域の下にある酸化物が
露出するようにパターン形成される。露出された酸化物
は、エッチングされ、相互接続用のトラフを形成する。
アルミニウムまたはタングステンなどの導電層を、非選
択的付着によってウェハ上に付着し、穴とトラフを充填
する。その後、導電層をCMPによってパターン形成し
て、相互接続と接点を同時に形成する。
使用して形成される。電界効果トランジスタ、ゲート、
ダイオードなどのデバイスを有する半導体基板が提供さ
れる。BPSGは、基板と関連微細構成の上に付着さ
れ、高アスペクト比の形状を充填するためにリフローさ
れ、化学的機械研摩(CMP)によって平坦化される。
低温酸化物層が、BPSG層の上に付着され、後続の金
属層のパターン形成中に研摩止めとして働く。その後、
フォトレジストのマスク層を酸化物の上に設け、接触区
域内の下にある酸化物が露出するようにパターン形成さ
れる。露出された酸化物とBPSGは、エッチングさ
れ、コンタクト・ホールを形成する。第2のマスク層を
酸化物の上に形成し、相互接続区域の下にある酸化物が
露出するようにパターン形成される。露出された酸化物
は、エッチングされ、相互接続用のトラフを形成する。
アルミニウムまたはタングステンなどの導電層を、非選
択的付着によってウェハ上に付着し、穴とトラフを充填
する。その後、導電層をCMPによってパターン形成し
て、相互接続と接点を同時に形成する。
【0005】同様の形で、接合は、接点がエッチングさ
れた後、導電層の付着の前に、イオン打込みステップを
実行することによって、接点に対して自動的に位置合せ
される。イオン打込みでは、通常は、高エネルギ・イオ
ン打込みと高温アニールが使用される。
れた後、導電層の付着の前に、イオン打込みステップを
実行することによって、接点に対して自動的に位置合せ
される。イオン打込みでは、通常は、高エネルギ・イオ
ン打込みと高温アニールが使用される。
【0006】
【発明が解決しようとする課題】この手法に伴う問題
は、低温酸化物の収縮およびBPSGのリフローが原因
で、高温接合活性化アニール中に最初の接点レベルおよ
び相互接続レベルのひずみが発生する可能性があること
である。このひずみは、後続の接点レベルとの位置ずれ
(開路または短絡の原因になる可能性がある)と、相互
接続抵抗の変動を引き起こす可能性がある。
は、低温酸化物の収縮およびBPSGのリフローが原因
で、高温接合活性化アニール中に最初の接点レベルおよ
び相互接続レベルのひずみが発生する可能性があること
である。このひずみは、後続の接点レベルとの位置ずれ
(開路または短絡の原因になる可能性がある)と、相互
接続抵抗の変動を引き起こす可能性がある。
【0007】
【課題を解決するための手段】本発明の長所は、位置ず
れの問題と抵抗の変動が少なくなることである。この減
少は、BPSGおよびドーピングされない酸化物を、線
のパターン形成、接点のエッチングおよびイオン打込み
のステップの前に高温にさらすことによって達成され
る。これによって、パターン形成の前に、BPSGとそ
の上にある酸化物の密度が高くなる。したがって、パタ
ーンが形成される前に収縮が発生し、後続の層と位置合
せしやすい、より直線に近い線がもたらされる。
れの問題と抵抗の変動が少なくなることである。この減
少は、BPSGおよびドーピングされない酸化物を、線
のパターン形成、接点のエッチングおよびイオン打込み
のステップの前に高温にさらすことによって達成され
る。これによって、パターン形成の前に、BPSGとそ
の上にある酸化物の密度が高くなる。したがって、パタ
ーンが形成される前に収縮が発生し、後続の層と位置合
せしやすい、より直線に近い線がもたらされる。
【0008】本発明の他の多数の長所および特徴は、以
下の本発明の詳細な説明、図面および請求の範囲から簡
単に明らかになる。
下の本発明の詳細な説明、図面および請求の範囲から簡
単に明らかになる。
【0009】
【発明の実施の形態】金属の化学的機械研摩(CMP)
による相互接続のパターン形成(すなわち、ダマシーン
・パターン形成)は、マイクロエレクトロニクス産業で
ますます使用されつつある。ダマシーン処理には多数の
長所があり、低コスト、広範囲の平坦性、簡単な酸化物
付着(微細形状なし)、簡単な反応性イオン・エッチン
グ(RIE)(二酸化ケイ素(SiO2)のRIEは金
属のRIEより簡単)、高い歩留まりが含まれる。二重
ダマシーン(同一の金属付着ステップと研摩ステップに
よってスタッドと相互接続が形成される)は、単一ダマ
シーン処理よりもさらにコスト的に有利である。という
のは、スタッドを形成するための金属の付着ステップと
研摩ステップが省略されるからである。
による相互接続のパターン形成(すなわち、ダマシーン
・パターン形成)は、マイクロエレクトロニクス産業で
ますます使用されつつある。ダマシーン処理には多数の
長所があり、低コスト、広範囲の平坦性、簡単な酸化物
付着(微細形状なし)、簡単な反応性イオン・エッチン
グ(RIE)(二酸化ケイ素(SiO2)のRIEは金
属のRIEより簡単)、高い歩留まりが含まれる。二重
ダマシーン(同一の金属付着ステップと研摩ステップに
よってスタッドと相互接続が形成される)は、単一ダマ
シーン処理よりもさらにコスト的に有利である。という
のは、スタッドを形成するための金属の付着ステップと
研摩ステップが省略されるからである。
【0010】二重ダマシーンに関する以前の研究では、
バイアと相互接続の構造が、1つの誘電体内に形成され
た。しかし、256メガビット(M)ダイナミック・ラ
ンダム・アクセス・メモリ(DRAM)デバイスでシリ
コンへの接点を作成する時には、二重ダマシーン構造で
2つの異なる誘電体を使用する必要があった。下側の誘
電体層については、ホウリンケイ酸ガラス(BPSG)
または他の流動性を有する誘電材料が、アレイ内のゲー
ト間の高アスペクト比の空間を充填するのに使用され
た。しかし、BPSGの研摩速度が高いので、BPSG
内にダマシーン構造を製造することは困難であった。金
属CMP中にBPSGの深刻な侵食が発生する可能性が
あり、後続のCMPステップで金属をトラップする微細
形状が生じる可能性がある。その一方で、ドーピングさ
れないSiO2などの流動性を有しない誘電材料は、研
摩速度が低く、したがって、研摩の制御性が高い。した
がって、ドーピングされないSiO2が、金属CMP中
の絶縁体の侵食を最小化するために、上側の誘電層の材
料として使用された。
バイアと相互接続の構造が、1つの誘電体内に形成され
た。しかし、256メガビット(M)ダイナミック・ラ
ンダム・アクセス・メモリ(DRAM)デバイスでシリ
コンへの接点を作成する時には、二重ダマシーン構造で
2つの異なる誘電体を使用する必要があった。下側の誘
電体層については、ホウリンケイ酸ガラス(BPSG)
または他の流動性を有する誘電材料が、アレイ内のゲー
ト間の高アスペクト比の空間を充填するのに使用され
た。しかし、BPSGの研摩速度が高いので、BPSG
内にダマシーン構造を製造することは困難であった。金
属CMP中にBPSGの深刻な侵食が発生する可能性が
あり、後続のCMPステップで金属をトラップする微細
形状が生じる可能性がある。その一方で、ドーピングさ
れないSiO2などの流動性を有しない誘電材料は、研
摩速度が低く、したがって、研摩の制御性が高い。した
がって、ドーピングされないSiO2が、金属CMP中
の絶縁体の侵食を最小化するために、上側の誘電層の材
料として使用された。
【0011】残念ながら、二重ダマシーンのためにBP
SG上にドーピングされないSiO2を使用することに
よって、複数の問題が生じる。問題の1つは、接点アニ
ール中のBPSGのリフローであり、これは、スタッド
と相互接続のひずみを引き起こす可能性がある。図1お
よび図3に示されたものなどの半導体デバイスの走査電
子顕微鏡写真を見ると、線がまっすぐでないことが観察
される。この線の湾曲は、接合の高温アニール中のホウ
リンケイ酸ガラス(BPSG)のリフローと二酸化ケイ
素の収縮によって引き起こされたものであると判定され
た。
SG上にドーピングされないSiO2を使用することに
よって、複数の問題が生じる。問題の1つは、接点アニ
ール中のBPSGのリフローであり、これは、スタッド
と相互接続のひずみを引き起こす可能性がある。図1お
よび図3に示されたものなどの半導体デバイスの走査電
子顕微鏡写真を見ると、線がまっすぐでないことが観察
される。この線の湾曲は、接合の高温アニール中のホウ
リンケイ酸ガラス(BPSG)のリフローと二酸化ケイ
素の収縮によって引き起こされたものであると判定され
た。
【0012】この問題を克服するために、本発明は、ド
ーピングされない二酸化ケイ素層を加工または選択でき
る方法を提供する。線の変動という問題に対する第1の
解決策は、パターン形成ステップの前に、ドーピングさ
れない二酸化ケイ素の密度を高めることである。これ
は、その構造を、接合活性化アニール温度すなわち、約
800℃から約1100℃までの温度に匹敵する高温ア
ニールにさらすことによって達成できる。パターン形成
の前にドーピングされない二酸化ケイ素の密度を高める
ことによって、その層の収縮が、パターン形成ステップ
の前に発生するようになる。したがって、線と接点のひ
ずみが最小になる。
ーピングされない二酸化ケイ素層を加工または選択でき
る方法を提供する。線の変動という問題に対する第1の
解決策は、パターン形成ステップの前に、ドーピングさ
れない二酸化ケイ素の密度を高めることである。これ
は、その構造を、接合活性化アニール温度すなわち、約
800℃から約1100℃までの温度に匹敵する高温ア
ニールにさらすことによって達成できる。パターン形成
の前にドーピングされない二酸化ケイ素の密度を高める
ことによって、その層の収縮が、パターン形成ステップ
の前に発生するようになる。したがって、線と接点のひ
ずみが最小になる。
【0013】線の変動の問題に対するもう1つの解決策
は、高温アニール処理中に収縮しないか、圧縮性が高ま
る、ドーピングされない、すなわち、流動性のない誘電
体を使用することである。オルトケイ酸テトラエチル
(TEOS)前駆物質からプラズマ強化化学的気相付着
(PECVD)によって付着される酸化物は、シラン前
駆物質から付着される酸化物より高い密度を有し、した
がって、収縮が少ないことが観察された。もちろん、密
度の高い、同様に付着された酸化物をもたらす他の処理
を使用することもできる。
は、高温アニール処理中に収縮しないか、圧縮性が高ま
る、ドーピングされない、すなわち、流動性のない誘電
体を使用することである。オルトケイ酸テトラエチル
(TEOS)前駆物質からプラズマ強化化学的気相付着
(PECVD)によって付着される酸化物は、シラン前
駆物質から付着される酸化物より高い密度を有し、した
がって、収縮が少ないことが観察された。もちろん、密
度の高い、同様に付着された酸化物をもたらす他の処理
を使用することもできる。
【0014】例 実験は、256M DRAMプロセスの開発に使用され
る0.25μm構造上で行われた。ゲートのパターン形
成とチッ化ケイ素(Si3N4)の直線付着の後に、BP
SGを付着し、CMPによって平坦化した。アレイ内の
無境界接点をエッチングし、ドーピングされたポリシリ
コンで充填し、その後、このポリシリコンをCMPによ
ってパターン形成して、ポリシリコン・スタッドを形成
した。二重ダマシーン処理を使用して、すべてのゲート
とサポート回路内の拡散ならびにタングステン(W)相
互接続への境界付き接点を形成した。二重ダマシーン処
理は、プラズマ強化化学的気相付着(PECVD)によ
るドーピングされないSiO2の付着から始まる。すべ
てのゲートおよびサポート回路内の拡散への境界付き接
点は、それぞれゲート導体上またはSi基板上のエッチ
ング止めを用いて、リソグラフィとRIEを使用して製
造された。その後、相互接続用のトラフを、リソグラフ
ィとRIEを使用してパターン形成した。この時、アレ
イ内のポリシリコン・スタッドの頂部を露出させるた
め、BPSGへの多少のオーバー・エッチングを行っ
た。接点とトラフのパターン形成の後に、拡散への接点
に、ヒ素(As)またはフッ化ホウ素(BF2)のいず
れかのイオンを打込み、アニールして、低接点抵抗を実
現した。接点のイオン打込み処理によって、スペーサ・
エッチング後の深い接合の使用と比較して、多数の長所
がもたらされる。すなわち、高線量イオン打込みが比較
的小さい面積に限定されるので低い接合漏れがもたらさ
れること、接点エッチング中に失われるドーパントがな
いので低い接合漏れがもたらされること、および、接点
の下の深い接合が相対的にチャネルから遠いので閾値電
圧の制御性がよいことである。しかし、この処理に関連
する高温アニールは、下で説明するように、BPSGリ
フローに関する問題を引き起こす可能性がある。チタン
/チッ化チタン(Ti/TiN)バリヤを、物理蒸着
(PVD)とアニールによって接点およびトラフに形成
し、CVDによってWを付着して、この構造を充填し
た。最後に、金属層をCMPによってパターン形成し、
WスタッドとW相互接続を形成した。256M DRA
Mプロセスでは、W二重ダマシーン処理の後に、2レベ
ルの追加のAl相互接続が形成された。
る0.25μm構造上で行われた。ゲートのパターン形
成とチッ化ケイ素(Si3N4)の直線付着の後に、BP
SGを付着し、CMPによって平坦化した。アレイ内の
無境界接点をエッチングし、ドーピングされたポリシリ
コンで充填し、その後、このポリシリコンをCMPによ
ってパターン形成して、ポリシリコン・スタッドを形成
した。二重ダマシーン処理を使用して、すべてのゲート
とサポート回路内の拡散ならびにタングステン(W)相
互接続への境界付き接点を形成した。二重ダマシーン処
理は、プラズマ強化化学的気相付着(PECVD)によ
るドーピングされないSiO2の付着から始まる。すべ
てのゲートおよびサポート回路内の拡散への境界付き接
点は、それぞれゲート導体上またはSi基板上のエッチ
ング止めを用いて、リソグラフィとRIEを使用して製
造された。その後、相互接続用のトラフを、リソグラフ
ィとRIEを使用してパターン形成した。この時、アレ
イ内のポリシリコン・スタッドの頂部を露出させるた
め、BPSGへの多少のオーバー・エッチングを行っ
た。接点とトラフのパターン形成の後に、拡散への接点
に、ヒ素(As)またはフッ化ホウ素(BF2)のいず
れかのイオンを打込み、アニールして、低接点抵抗を実
現した。接点のイオン打込み処理によって、スペーサ・
エッチング後の深い接合の使用と比較して、多数の長所
がもたらされる。すなわち、高線量イオン打込みが比較
的小さい面積に限定されるので低い接合漏れがもたらさ
れること、接点エッチング中に失われるドーパントがな
いので低い接合漏れがもたらされること、および、接点
の下の深い接合が相対的にチャネルから遠いので閾値電
圧の制御性がよいことである。しかし、この処理に関連
する高温アニールは、下で説明するように、BPSGリ
フローに関する問題を引き起こす可能性がある。チタン
/チッ化チタン(Ti/TiN)バリヤを、物理蒸着
(PVD)とアニールによって接点およびトラフに形成
し、CVDによってWを付着して、この構造を充填し
た。最後に、金属層をCMPによってパターン形成し、
WスタッドとW相互接続を形成した。256M DRA
Mプロセスでは、W二重ダマシーン処理の後に、2レベ
ルの追加のAl相互接続が形成された。
【0015】初期の実験から、BPSG上にドーピング
されないSiO2を使用する二重ダマシーン構造に関す
る複数の問題が明らかになった。最も深刻な問題は、図
1および図3に示された、接点および相互接続の激しい
ひずみである。接点の頂部は傾き、相互接続は、曲がる
か幅がひろがっていた。スタッドの傾きは、接点抵抗ま
たは接点連鎖の連続性に関する観測可能な問題を引き起
こしてはいないが、潜在的には歩留まりまたは信頼性に
関する問題を引き起こす可能性がある。相互接続のひず
みは、線幅の増大を引き起こし、したがって、分離され
た線の面積抵抗の増加を引き起こす。
されないSiO2を使用する二重ダマシーン構造に関す
る複数の問題が明らかになった。最も深刻な問題は、図
1および図3に示された、接点および相互接続の激しい
ひずみである。接点の頂部は傾き、相互接続は、曲がる
か幅がひろがっていた。スタッドの傾きは、接点抵抗ま
たは接点連鎖の連続性に関する観測可能な問題を引き起
こしてはいないが、潜在的には歩留まりまたは信頼性に
関する問題を引き起こす可能性がある。相互接続のひず
みは、線幅の増大を引き起こし、したがって、分離され
た線の面積抵抗の増加を引き起こす。
【0016】構造のひずみは、おそらくは、接点アニー
ル中のドーピングされないSiO2の密度上昇に関連す
る。図5からわかるように、ドーピングされないSiO
2/BPSG薄膜スタックの応力は、高温アニールの後
には圧縮性が下がり(すなわち引張り方向になり)、ド
ーピングされないSiO2薄膜の収縮と一致する。高温
アニールは、BPSGリフロー温度を超えるので、ドー
ピングされないSiO2の収縮は、相互接続パターンと
スタッド・パターンの横方向の移動をもたらす。横移動
の量は、周囲の構造に依存し、パターン形成されていな
い大きいSiO2領域に隣接する相互接続または接点で
最大になる。実際、線は、パターン形成されていない大
きい領域の中心に向かって引っ張られているようにみえ
る。この問題は、図2および図4に示すように、パター
ン形成の前にSiO2の密度を高めることによって解決
される。これによって、接点アニール中の収縮とパター
ンの横移動が除去される。
ル中のドーピングされないSiO2の密度上昇に関連す
る。図5からわかるように、ドーピングされないSiO
2/BPSG薄膜スタックの応力は、高温アニールの後
には圧縮性が下がり(すなわち引張り方向になり)、ド
ーピングされないSiO2薄膜の収縮と一致する。高温
アニールは、BPSGリフロー温度を超えるので、ドー
ピングされないSiO2の収縮は、相互接続パターンと
スタッド・パターンの横方向の移動をもたらす。横移動
の量は、周囲の構造に依存し、パターン形成されていな
い大きいSiO2領域に隣接する相互接続または接点で
最大になる。実際、線は、パターン形成されていない大
きい領域の中心に向かって引っ張られているようにみえ
る。この問題は、図2および図4に示すように、パター
ン形成の前にSiO2の密度を高めることによって解決
される。これによって、接点アニール中の収縮とパター
ンの横移動が除去される。
【0017】さらに、付着方法が、SiO2の収縮に影
響する。収縮に影響する変数の1つが、SiO2付着の
ための前駆物質である。図1と図2を比較するとわかる
ように、シラン前駆物質から形成されるSiO2は、T
EOS前駆物質から形成されるものより高い曲率を有す
る。さらに、シラン前駆物質から作られるSiO2のア
ニールは、図3と図4に示され、図5のグラフに示され
るように、TEOS前駆物質から作られるSiO2のア
ニールと同一の利益、すなわち、より直線に近い線をも
たらさない。
響する。収縮に影響する変数の1つが、SiO2付着の
ための前駆物質である。図1と図2を比較するとわかる
ように、シラン前駆物質から形成されるSiO2は、T
EOS前駆物質から形成されるものより高い曲率を有す
る。さらに、シラン前駆物質から作られるSiO2のア
ニールは、図3と図4に示され、図5のグラフに示され
るように、TEOS前駆物質から作られるSiO2のア
ニールと同一の利益、すなわち、より直線に近い線をも
たらさない。
【0018】本発明を、詳細に記載された特定の機器に
関して説明した。しかし、これらの実施態様は、例示の
みを目的として提示されたものであり、本発明は、必ず
しもこれらに制限されるものではないことを理解された
い。当業者であれば諒解できるとおり、請求項の趣旨お
よび範囲の中での修正および変形は、この開示から簡単
に明らかになる。
関して説明した。しかし、これらの実施態様は、例示の
みを目的として提示されたものであり、本発明は、必ず
しもこれらに制限されるものではないことを理解された
い。当業者であれば諒解できるとおり、請求項の趣旨お
よび範囲の中での修正および変形は、この開示から簡単
に明らかになる。
【0019】まとめとして、本発明に構成に関して以下
の事項を開示する。
の事項を開示する。
【0020】(1)基板を設けるステップと、基板の表
面に流動性を有する誘電材料の層を付着するステップ
と、流動性を有する誘電材料の層の表面に流動性を有し
ない誘電材料の層を付着するステップと、第1の高温ア
ニールを実行するステップと、接点をパターン形成する
ステップと、接合を形成するために高線量イオン打ち込
みステップを実行するステップと、第2の高温アニール
を実行するステップと、線および接点をメタライズする
ステップとを含む、集積回路チップの線および接点のひ
ずみを防ぐ方法。 (2)さらに、アニールの後により圧縮性になる、流動
性を有しない誘電体からなるグループから、流動性を有
しない誘電体を選択するステップを含む、上記(1)に
記載の方法。 (3)さらに、アニール中に収縮しない、流動性を有し
ない誘電体からなるグループから、流動性を有しない誘
電体を選択するステップを含む、上記(1)に記載の方
法。 (4)流動性を有しない誘電体の選択が、オルトケイ酸
テトラエチル前駆物質から付着された二酸化ケイ素から
なるグループから行われることを特徴とする、上記
(3)に記載の方法。 (5)集積回路チップが、二重ダマシーン技法によって
パターン形成されることを特徴とする、上記(1)に記
載の方法。 (6)第1の高温アニールが、約800℃から約110
0℃の範囲の温度に基板を加熱することによって実行さ
れることを特徴とする、上記(1)に記載の方法。 (7)基板を設けるステップと、基板の表面に流動性を
有する誘電材料の層を付着するステップと、流動性を有
する誘電材料の層の表面に、流動性を有しない誘電材料
の層を付着するステップと、第1の高温アニールを実行
するステップと、接点をパターン形成するステップと、
接合を形成するため高線量イオン打込みステップを実行
するステップと、第2の高温アニールを実行するステッ
プと、線および接点をメタライズするステップとを含
む、集積回路チップを作る方法。 (8)さらに、アニールの後により圧縮性になる、流動
性を有しない誘電体からなるグループから、流動性を有
しない誘電体を選択するステップを含む、上記(7)に
記載の方法。 (9)さらに、アニール中に収縮しない、流動性を有し
ない誘電体からなるグループから、流動性を有しない誘
電体を選択するステップを含む、上記(7)に記載の方
法。 (10)流動性を有しない誘電体の選択が、オルトケイ
酸テトラエチル前駆物質から付着された二酸化ケイ素の
グループから行われることを特徴とする、上記(9)に
記載の方法。 (11)集積回路チップが、二重ダマシーン技法によっ
てパターン形成されることを特徴とする、上記(7)に
記載の方法。 (12)第1の高温アニールが、約800℃から約11
00℃の範囲の温度に基板を加熱することによって実行
されることを特徴とする、上記(7)に記載の方法。
面に流動性を有する誘電材料の層を付着するステップ
と、流動性を有する誘電材料の層の表面に流動性を有し
ない誘電材料の層を付着するステップと、第1の高温ア
ニールを実行するステップと、接点をパターン形成する
ステップと、接合を形成するために高線量イオン打ち込
みステップを実行するステップと、第2の高温アニール
を実行するステップと、線および接点をメタライズする
ステップとを含む、集積回路チップの線および接点のひ
ずみを防ぐ方法。 (2)さらに、アニールの後により圧縮性になる、流動
性を有しない誘電体からなるグループから、流動性を有
しない誘電体を選択するステップを含む、上記(1)に
記載の方法。 (3)さらに、アニール中に収縮しない、流動性を有し
ない誘電体からなるグループから、流動性を有しない誘
電体を選択するステップを含む、上記(1)に記載の方
法。 (4)流動性を有しない誘電体の選択が、オルトケイ酸
テトラエチル前駆物質から付着された二酸化ケイ素から
なるグループから行われることを特徴とする、上記
(3)に記載の方法。 (5)集積回路チップが、二重ダマシーン技法によって
パターン形成されることを特徴とする、上記(1)に記
載の方法。 (6)第1の高温アニールが、約800℃から約110
0℃の範囲の温度に基板を加熱することによって実行さ
れることを特徴とする、上記(1)に記載の方法。 (7)基板を設けるステップと、基板の表面に流動性を
有する誘電材料の層を付着するステップと、流動性を有
する誘電材料の層の表面に、流動性を有しない誘電材料
の層を付着するステップと、第1の高温アニールを実行
するステップと、接点をパターン形成するステップと、
接合を形成するため高線量イオン打込みステップを実行
するステップと、第2の高温アニールを実行するステッ
プと、線および接点をメタライズするステップとを含
む、集積回路チップを作る方法。 (8)さらに、アニールの後により圧縮性になる、流動
性を有しない誘電体からなるグループから、流動性を有
しない誘電体を選択するステップを含む、上記(7)に
記載の方法。 (9)さらに、アニール中に収縮しない、流動性を有し
ない誘電体からなるグループから、流動性を有しない誘
電体を選択するステップを含む、上記(7)に記載の方
法。 (10)流動性を有しない誘電体の選択が、オルトケイ
酸テトラエチル前駆物質から付着された二酸化ケイ素の
グループから行われることを特徴とする、上記(9)に
記載の方法。 (11)集積回路チップが、二重ダマシーン技法によっ
てパターン形成されることを特徴とする、上記(7)に
記載の方法。 (12)第1の高温アニールが、約800℃から約11
00℃の範囲の温度に基板を加熱することによって実行
されることを特徴とする、上記(7)に記載の方法。
【図1】本発明の方法を使用せずに、二酸化ケイ素のプ
ラズマ強化化学的気相付着の前駆物質としてシランを使
用して形成された線の走査電子顕微鏡写真を示す図であ
る。
ラズマ強化化学的気相付着の前駆物質としてシランを使
用して形成された線の走査電子顕微鏡写真を示す図であ
る。
【図2】本発明の方法を使用して、二酸化ケイ素のプラ
ズマ強化化学的気相付着の前駆物質としてシランを使用
して形成された線の走査電子顕微鏡写真を示す図であ
る。
ズマ強化化学的気相付着の前駆物質としてシランを使用
して形成された線の走査電子顕微鏡写真を示す図であ
る。
【図3】本発明の方法を使用せずに、二酸化ケイ素のプ
ラズマ強化化学的気相付着の前駆物質としてオルトケイ
酸テトラエチルを使用して形成された線の走査電子顕微
鏡写真を示す図である。
ラズマ強化化学的気相付着の前駆物質としてオルトケイ
酸テトラエチルを使用して形成された線の走査電子顕微
鏡写真を示す図である。
【図4】本発明の方法を使用して、二酸化ケイ素のプラ
ズマ強化化学的気相付着の前駆物質としてオルトケイ酸
テトラエチルを使用して形成された線の走査電子顕微鏡
写真を示す図である。
ズマ強化化学的気相付着の前駆物質としてオルトケイ酸
テトラエチルを使用して形成された線の走査電子顕微鏡
写真を示す図である。
【図5】温度の関数として、さまざまな組成の応力の相
対的な変化を表すグラフである。
対的な変化を表すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフリー・ピーター・ガンビーノ アメリカ合衆国06755 コネチカット州ゲ イローズヴィル ウェバタック・ロード 12 (72)発明者 ソン・ヴァン・グエン アメリカ合衆国95123 カリフォルニア州 サンノゼブロッサム・ヒル・ステーション (72)発明者 ラインハルト・シュテングル ドイツ連邦共和国86391 シュタットベル ゲン ベルクシュトラーセ 3
Claims (12)
- 【請求項1】基板を設けるステップと、 基板の表面に流動性を有する誘電材料の層を付着するス
テップと、 流動性を有する誘電材料の層の表面に流動性を有しない
誘電材料の層を付着するステップと、 第1の高温アニールを実行するステップと、 接点をパターン形成するステップと、 接合を形成するために高線量イオン打ち込みステップを
実行するステップと、 第2の高温アニールを実行するステップと、 線および接点をメタライズするステップとを含む、集積
回路チップの線および接点のひずみを防ぐ方法。 - 【請求項2】さらに、アニールの後により圧縮性にな
る、流動性を有しない誘電体からなるグループから、流
動性を有しない誘電体を選択するステップを含む、請求
項1に記載の方法。 - 【請求項3】さらに、アニール中に収縮しない、流動性
を有しない誘電体からなるグループから、流動性を有し
ない誘電体を選択するステップを含む、請求項1に記載
の方法。 - 【請求項4】流動性を有しない誘電体の選択が、オルト
ケイ酸テトラエチル前駆物質から付着された二酸化ケイ
素からなるグループから行われることを特徴とする、請
求項3に記載の方法。 - 【請求項5】集積回路チップが、二重ダマシーン技法に
よってパターン形成されることを特徴とする、請求項1
に記載の方法。 - 【請求項6】第1の高温アニールが、約800℃から約
1100℃の範囲の温度に基板を加熱することによって
実行されることを特徴とする、請求項1に記載の方法。 - 【請求項7】基板を設けるステップと、 基板の表面に流動性を有する誘電材料の層を付着するス
テップと、 流動性を有する誘電材料の層の表面に、流動性を有しな
い誘電材料の層を付着するステップと、 第1の高温アニールを実行するステップと、 接点をパターン形成するステップと、 接合を形成するため高線量イオン打込みステップを実行
するステップと、 第2の高温アニールを実行するステップと、 線および接点をメタライズするステップとを含む、集積
回路チップを作る方法。 - 【請求項8】さらに、アニールの後により圧縮性にな
る、流動性を有しない誘電体からなるグループから、流
動性を有しない誘電体を選択するステップを含む、請求
項7に記載の方法。 - 【請求項9】さらに、アニール中に収縮しない、流動性
を有しない誘電体からなるグループから、流動性を有し
ない誘電体を選択するステップを含む、請求項7に記載
の方法。 - 【請求項10】流動性を有しない誘電体の選択が、オル
トケイ酸テトラエチル前駆物質から付着された二酸化ケ
イ素のグループから行われることを特徴とする、請求項
9に記載の方法。 - 【請求項11】集積回路チップが、二重ダマシーン技法
によってパターン形成されることを特徴とする、請求項
7に記載の方法。 - 【請求項12】第1の高温アニールが、約800℃から
約1100℃の範囲の温度に基板を加熱することによっ
て実行されることを特徴とする、請求項7に記載の方
法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/736301 | 1996-10-24 | ||
US08/736,301 US5973385A (en) | 1996-10-24 | 1996-10-24 | Method for suppressing pattern distortion associated with BPSG reflow and integrated circuit chip formed thereby |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH10135326A true JPH10135326A (ja) | 1998-05-22 |
JP3342652B2 JP3342652B2 (ja) | 2002-11-11 |
Family
ID=24959345
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP27283597A Expired - Fee Related JP3342652B2 (ja) | 1996-10-24 | 1997-10-06 | Bpsgリフローおよびこれによって形成される集積回路チップに関連するパターンひずみを抑制する方法 |
Country Status (3)
Country | Link |
---|---|
US (3) | US5973385A (ja) |
EP (1) | EP0838851A3 (ja) |
JP (1) | JP3342652B2 (ja) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5973385A (en) * | 1996-10-24 | 1999-10-26 | International Business Machines Corporation | Method for suppressing pattern distortion associated with BPSG reflow and integrated circuit chip formed thereby |
US20070082446A1 (en) * | 2005-10-07 | 2007-04-12 | Dominik Olligs | Methods for fabricating non-volatile memory cell array |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3967310A (en) * | 1968-10-09 | 1976-06-29 | Hitachi, Ltd. | Semiconductor device having controlled surface charges by passivation films formed thereon |
US4582745A (en) * | 1984-01-17 | 1986-04-15 | Rca Corporation | Dielectric layers in multilayer refractory metallization structure |
US4795718A (en) * | 1987-05-12 | 1989-01-03 | Harris Corporation | Self-aligned contact for MOS processing |
US5235202A (en) * | 1988-09-06 | 1993-08-10 | Lsi Logic Corporation | Radiation hardened field dielectric utilizing BPSG |
JP2556138B2 (ja) * | 1989-06-30 | 1996-11-20 | 日本電気株式会社 | 半導体装置の製造方法 |
EP0425787A3 (en) * | 1989-10-31 | 1993-04-14 | International Business Machines Corporation | Method for fabricating high circuit density, self-aligned metal lines to contact windows |
US5310602A (en) * | 1991-11-12 | 1994-05-10 | Cornell Research Foundation | Self-aligned process for capping copper lines |
US5424570A (en) * | 1992-01-31 | 1995-06-13 | Sgs-Thomson Microelectronics, Inc. | Contact structure for improving photoresist adhesion on a dielectric layer |
JPH05243223A (ja) * | 1992-02-28 | 1993-09-21 | Fujitsu Ltd | 集積回路装置の製造方法 |
JP2875093B2 (ja) * | 1992-03-17 | 1999-03-24 | 三菱電機株式会社 | 半導体装置 |
US5441765A (en) * | 1993-09-22 | 1995-08-15 | Dow Corning Corporation | Method of forming Si-O containing coatings |
JP2616706B2 (ja) * | 1994-08-04 | 1997-06-04 | 日本電気株式会社 | 半導体装置およびその製造方法 |
US5492868A (en) * | 1994-10-24 | 1996-02-20 | Taiwan Semiconductor Manufacturing Corp. Ltd. | Capped reflow process to avoid contact autodoping and supress tungsten silicide peeling |
US5622596A (en) * | 1995-05-08 | 1997-04-22 | International Business Machines Corporation | High density selective SiO2 :Si3 N4 etching using a stoichiometrically altered nitride etch stop |
US5661073A (en) * | 1995-08-11 | 1997-08-26 | Micron Technology, Inc. | Method for forming field oxide having uniform thickness |
US5804506A (en) * | 1995-08-17 | 1998-09-08 | Micron Technology, Inc. | Acceleration of etch selectivity for self-aligned contact |
US5573633A (en) * | 1995-11-14 | 1996-11-12 | International Business Machines Corporation | Method of chemically mechanically polishing an electronic component |
US5554565A (en) * | 1996-02-26 | 1996-09-10 | Taiwan Semiconductor Manufacturing Company Ltd. | Modified BP-TEOS tungsten-plug contact process |
US5973385A (en) * | 1996-10-24 | 1999-10-26 | International Business Machines Corporation | Method for suppressing pattern distortion associated with BPSG reflow and integrated circuit chip formed thereby |
JP3264196B2 (ja) * | 1996-12-02 | 2002-03-11 | ヤマハ株式会社 | 絶縁膜平坦化法 |
US6177344B1 (en) * | 1998-11-25 | 2001-01-23 | Applied Materials, Inc. | BPSG reflow method to reduce thermal budget for next generation device including heating in a steam ambient |
-
1996
- 1996-10-24 US US08/736,301 patent/US5973385A/en not_active Expired - Lifetime
-
1997
- 1997-10-06 JP JP27283597A patent/JP3342652B2/ja not_active Expired - Fee Related
- 1997-10-13 EP EP97308095A patent/EP0838851A3/en not_active Ceased
-
1998
- 1998-01-20 US US09/009,198 patent/US5994215A/en not_active Expired - Lifetime
-
1999
- 1999-06-22 US US09/819,062 patent/US6495439B1/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
EP0838851A3 (en) | 1999-02-17 |
EP0838851A2 (en) | 1998-04-29 |
US5994215A (en) | 1999-11-30 |
JP3342652B2 (ja) | 2002-11-11 |
US5973385A (en) | 1999-10-26 |
US6495439B1 (en) | 2002-12-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US5371410A (en) | Integrated circuit metallization with zero contact enclosure requirements | |
US6245670B1 (en) | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure | |
JP3660799B2 (ja) | 半導体集積回路装置の製造方法 | |
KR100360396B1 (ko) | 반도체소자의 콘택 구조체 형성방법 | |
US7670946B2 (en) | Methods to eliminate contact plug sidewall slit | |
JP2004193563A (ja) | Mimキャパシタを有する半導体素子 | |
US7052990B2 (en) | Sealed pores in low-k material damascene conductive structures | |
JPH11251457A (ja) | 半導体デバイス,メモリ・セル,およびその形成方法 | |
US20200343131A1 (en) | Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations | |
US20020130347A1 (en) | Formation of a frontside contact on silicon-on-insulator substrate | |
US20070218684A1 (en) | Method for fabricating storage node contact plug of semiconductor device | |
US6236091B1 (en) | Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide | |
US6593632B1 (en) | Interconnect methodology employing a low dielectric constant etch stop layer | |
JP3342652B2 (ja) | Bpsgリフローおよびこれによって形成される集積回路チップに関連するパターンひずみを抑制する方法 | |
KR100831981B1 (ko) | 반도체 소자의 콘택플러그 제조 방법 | |
US6225216B1 (en) | Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide | |
KR100471409B1 (ko) | 반도체소자 제조방법 | |
KR19990084516A (ko) | 반도체 장치의 콘택 플러그 형성 방법 | |
US7112537B2 (en) | Method of fabricating interconnection structure of semiconductor device | |
US6297144B1 (en) | Damascene local interconnect process | |
KR0160545B1 (ko) | 실리사이드를 이용한 컨택 및 비어 플러그의 제조방법 | |
KR100502670B1 (ko) | 비피에스지의 리플로우에 따른 패턴의 이동을 방지할 수 있는 반도체소자 제조 방법 | |
KR100641488B1 (ko) | 반도체 소자의 콘택 제조 방법 | |
KR19990061032A (ko) | 반도체 소자의 제조방법 | |
KR19990055770A (ko) | 반도체 소자의 금속배선 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
LAPS | Cancellation because of no payment of annual fees |