JPH09219401A - 半導体基板のサブミクロンのギャップを充填するための方法及び装置 - Google Patents

半導体基板のサブミクロンのギャップを充填するための方法及び装置

Info

Publication number
JPH09219401A
JPH09219401A JP9015895A JP1589597A JPH09219401A JP H09219401 A JPH09219401 A JP H09219401A JP 9015895 A JP9015895 A JP 9015895A JP 1589597 A JP1589597 A JP 1589597A JP H09219401 A JPH09219401 A JP H09219401A
Authority
JP
Japan
Prior art keywords
film
chamber
fluorine
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9015895A
Other languages
English (en)
Inventor
V S Rana Birendora
ヴィー. エス. ラナ ヴィレンドラ
Connors Andrew
コナーズ アンドリュー
Gupta Anand
ギュプタ アナンド
Goo Shin
ゴー シン
Hon Suuniru
ホン スーニル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09219401A publication Critical patent/JPH09219401A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 絶縁層をメタル層の上に堆積する際、高いア
スペクト比のギャップ充填能力を有することを可能にす
る。 【解決手段】 ギャップ充填性能を向上した半導体製造
プロセスが、FSG堆積/エッチバック/FSG堆積の
3ステップのプロセスにより与えられる。第1のFSG
層はメタル層の上に堆積する。次いで、アルゴンスパッ
タエッチングを行い、余分な堆積物をエッチングにより
取り去る。最後に、第2のFSG層を堆積して、ギャッ
プ充填プロセスを完成させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の製造工
程中のウエハの処理における誘電層の堆積に関する。更
に具体的には、本発明は、半導体ウエハないし基板のス
テップ状面や非平坦面の上に、これと共形(コンフォー
マル)となる珪素酸化物薄膜を形成するための方法及び
装置に関する。
【0002】
【従来の技術】半導体デバイスには通常、メタル導電体
によってインターコネクションが形成されており、これ
は、近接した間隔で配置される狭いメタルのラインであ
る場合がある。メタル導電体を2レベル以上用いるに
は、これらメタル層同士の間に絶縁層を堆積して、導電
体同士の間又はその他のものの間の短絡を防止する必要
がある。
【0003】このように、現在のマルチレベルの半導体
デバイスの製造工程における基本的なステップの1つ
に、このような絶縁層の形成があり、この絶縁層は、イ
ンターメタル誘電体層又はIMD層とも称される。半導
体基板へのIMD層の形成の基本的な方法の1つに、気
体の化学反応による方法がある。このような堆積プロセ
スは、化学気相堆積又はCVDと称される。従来からの
熱CVDプロセスでは、反応性の気体を基板表面に供給
し、熱に誘起されて化学反応が生じ、所望の膜を生成す
る。熱CVDの一部で操作されるような高温では、メタ
ル層を有するデバイス構造体にダメージを与えてしまう
ことがある。他方、プラズマ励起CVD(しばしばPE
CVDと称される)プロセスでは、高周波(RF)エネ
ルギーを、典型的には基板表面近傍にある反応領域に印
加することにより反応物ガスの励起及び/又は解離を促
進して、高い反応性の種から成るプラズマを生成する。
この放出された種の反応性が高いため、化学反応を生じ
させるために要するエネルギーが低くなり、従ってこの
CVDプロセスに必要な温度が下がる。PECVDプロ
セスの温度が比較的低いため、既に堆積したメタル導電
体の上に絶縁層を形成することに対してこのプロセスを
理想的なものとする。SiO2 は、通常用いられるIM
D層である。
【0004】半導体デバイスの幾何的寸法は、このよう
なデバイスが導入された数十年前から劇的に小型化して
きた。それ以降、集積回路は、「2年でサイズが半分」
の規則(しばしば「ムーアの法則」と称される)にだい
たい従ってきており、これは、チップ1つ当たりに入る
デバイスの数が、2年毎に倍になっていくことを意味し
ている。今日のウエハ製造プラントでは、表面立体形状
のサイズが0.5ミクロン、更には0.35ミクロンの
デバイスをルーティン的に製造しており、明日のプラン
トでは、これよりも更に小さな幾何形状を有するデバイ
スを製造することになるだろう。
【0005】回路の密度が大きくなり、隣接し合うメタ
ル導電体同士の距離が小さくなれば、隣接し合う導電体
の高さとこれらの間隔との比が大きくなるが、この比は
通常、アスペクト比と呼ばれている。アスペクト比の増
加に伴い、堆積した絶縁層が導電体と導電体との間のギ
ャップに対して共形ではなくなりまたこのギャップを完
全に埋めなくなるような確率が、高くなる。このよう
に、絶縁層を堆積させたときに、不要なボイドが、隣接
し合う導電体同士の間の層の中に形成されることがあ
る。ギャップの底部が充填される前に、隣接し合うメタ
ルの縦側壁の上部で堆積物が相互に接触するようになれ
ば、ボイドが形成される。
【0006】この問題に対する1つの解決策は、テトラ
エトキシシラン(TEOS:tetraethoxysilane)(Si
(OC254) を含有する前駆体ガスから誘導される
SiO2 を堆積する工程を用いることである。TEOS
から形成されるSiO2 層は、以後、TEOS堆積物又
は絶縁層と称することにする。
【0007】TEOSからSiO2 絶縁層を堆積するこ
とに代る別の解決策としては、弗素ドープ珪素酸化物膜
を堆積することであり、これは、フルオロシリケートガ
ラス膜(FSG:Fluoro Silicate Glass) とも称され
る。弗素はエッチング種であるため、弗素のドーピング
は堆積/エッチングを同時に行う効果を導入し、過剰な
堆積層がエッチングされて除かれ、ギャップの充填性を
改善する。この同時的なエッチングによって側壁の頂部
への堆積が遅くなり、そのため、頂部が閉じてしまう前
に、ギャップの底部へ更に充填をすることができる。
【0008】別の解決策は、メタル導電体同士の間に絶
縁層を1ステップで堆積させるのではなく、TEOS堆
積/エッチバック/TEOS堆積、の3ステップのプロ
セスを行うものである。この3ステップのプロセスでは
まず、TEOS絶縁層はメタル層の上に部分的に堆積さ
れる。その後、エッチバックのステップを行い、酸化物
層を更に堆積したとき不均一にしてボイドを形成してし
まうような過剰な珪素酸化物の一部を、エッチングによ
り取り去る。エッチバックによりギャップの頂部の堆積
物の一部を取り去り、すぐに閉じてしまわないようにす
る。最後に、第3のステップでTEOSの堆積が完結す
る。この3ステップの、TEOS堆積/エッチバック/
TEOS堆積のプロセスは、1ステップのTEOS堆積
プロセスの性能に対してギャップ充填性能が向上する。
【0009】
【発明が解決しようとする課題】半導体デバイスのサイ
ズが縮まれば、上述の如く、隣接し合う導電体同士の間
のギャップの幅が、0,5ミクロンよりも小さくなる。
従って、高いアスペクト比、例えば2.0以上に対する
ギャップ充填能力を有するように、絶縁層をメタル層の
上に堆積すること可能にすることが望ましい。
【0010】
【課題を解決するための手段】本発明は、フルオロシリ
ケートガラス堆積/エッチバック/フルオロシリケート
ガラス堆積の3ステップのプロセスを用いて、向上した
ギャップ充填能力を提供する。フルオロシリケートガラ
ス(FSG)の第1の層を、メタル層の上に部分的に堆
積する。次いで、スパッタエッチバックを遂行して、余
分な堆積物質をエッチングにより取り去る。最後に、F
SGの第2の層を堆積して、プロセスを完結させ絶縁層
の残りの部分を充填する。このように、本発明は、エッ
チングと堆積を同時に行うアプローチを、エッチバック
と堆積とを別々に行うアプローチと組合わせることによ
り、これらのアプローチの何れか1つの場合よりも、ギ
ャップ充填性を向上するものである。
【0011】
【発明の実施の形態】
(1)代表的なCVDリアクタとエッチバックチャンバ (A)代表的なCVDリアクタチャンバ 図1(a)は、単純化された平行板プラズマ励起化学気
相堆積(PECVD)リアクタ10を例示し、これは、
内部で本発明に従って絶縁層を堆積することが可能な真
空チャンバ15を有している。リアクタ10は、サセプ
タ12上に置かれているウエハ(図示せず)へ堆積ガス
(デポジションガス)を散布するためのガス散布マニホ
ールド11を有している。サセプタ12は、熱応答性が
高く、また、サセプタ12(及びサセプタ12の上面の
上に支持されるウエハ)が下方の搬入/搬出のポジショ
ンとマニホールド11に近接した上方の処理のポジショ
ン14との間での移動を制御できるように、支持体13
の上に装着されている。
【0012】サセプタ12及びウエハが処理のポジショ
ン14にあるときは、環状真空マニホールド24の中へ
と排気する複数の間隔をおいて配置される穴23を有す
るバッフル板により包囲されている。堆積ガス及び液体
とキャリアガス及び液体が、制御弁(図示せず)を有す
るライン18を介してガス混合チャンバ19へと供給さ
れ、そこで、これらが混合されて、マニホールド11へ
と送られる。処理中は、矢印22及び21で示されるよ
うに、マニホールド11へのガス流入口は、ウエハ表面
の方へ通じており、またウエハ表面全体へ放射方向に均
一に分布している。そして、真空ポンプシステム(図示
せず)により、ガスがポート23を介して円形の真空マ
ニホールド24に排出され、排気ライン31へと排気さ
れる。排気ライン31を介してガスが放出される速度
は、絞り弁32によって制御される。
【0013】RF電力源25からマニホールド11へR
Fエネルギーを与えることにより、制御されたプラズマ
が電極間に形成される。また、ガス散布マニホールド1
1もRF電極であり、他方、サセプタ12はアースされ
ている。チャンバ15に導入される反応種の分解を促進
するため、RF電力源25はマニホールド11に対し
て、単一周波数のRFエネルギー又は混合周波数のRF
エネルギーの何れか(又はその他の所望の変形)を与え
ることが可能である。
【0014】円形の外部ランプモジュール26により、
クオーツウィンドウ28を介してサセプタ12へ、コリ
メートされた環状のパターンをもつ光27が与えられ
る。このような熱の分布はサセプタの自然な熱損失のパ
ターンを補償するため、効率のよい堆積のためサセプタ
及びウエハに対して熱的に迅速で且つ均一な加熱を実現
する。無論、この熱分布は、他の方法、例えば抵抗加熱
等によっても実現できることが理解されるだろう。
【0015】モーター(図示せず)により、サセプタ1
2は、処理のポジション14と下方のウエハ搬送のポジ
ションとの間を昇降する。モーターと、ライン18に接
続する制御弁と、絞り弁と、RF電力源25とは、制御
ライン36の上方のプロセッサ34により制御される。
ここでは、制御ライン36は一部のみが図示されてい
る。プロセッサ34は、メモリ38に保存されているコ
ンピュータプログラムの制御の下に動作する。このコン
ピュータプログラムは、時期、ガスの混合、チャンバ圧
力、チャンバ温度、RF電力レベル、サセプタのポジシ
ョン、その他の特定のプロセスのパラメータを命令す
る。
【0016】典型的には、チャンバライニングのいずれ
か又は全て、ガス流入マニホールドフェースプレート、
支持フィンガ13、その他の種々のリアクタハードウェ
アは、陽極処理アルミニウム等の材料で作られる。この
ようなPECVD装置の1つの例が、標題 Thermal CVD
/PECVD Reactor and Use For Thermal Chemical Vapor
Deposition of Silicon Dioxide and In-situ Multi-st
ep Planarized Process の米国特許第5,000,113
号に記載されている。
【0017】上述のリアクタの説明は、主に例示の目的
のためであり、本発明の範囲を制限するためのものと考
えるべきではない。上述のリアクタの変形、例えば、サ
セプタのデザイン、ヒーターのデザイン、RF電力接続
部の配置やその他に関する変形が可能である。更に、そ
の他のプラズマCVD装置、例えば電子サイクロトロン
共鳴(ECR:Electron Cyclotron Resonance)プラズ
マCVD装置や、誘導結合RF高密度プラズマCVD装
置等の装置を用いてもよい。更に、熱CVD装置は、ハ
ロゲンドープのバルク膜層の形成にも用いてよい。本発
明の誘電層及びその層の形成方法は、いかなる特定の装
置や特定のプラズマ励起の方法によっても限定されるも
のではない。
【0018】(B)代表的なエッチバックチャンバ 図1Bは、単純化したエッチバックチャンバ110の1
具体例の断面図であり、このチャンバの中でスパッタエ
ッチバックのステップを本発明に従って遂行することが
できる。
【0019】エッチバックチャンバ110内のスパッタ
エッチバックのステップが新校注の半導体ウエハは、ペ
デスタル112の上に載置されている。リフトフィンガ
114がウエハを昇降させてペデスタル表面に着脱す
る。ベローズ116により、プロセスチャンバの真空の
完全性を保持しつつも、リフトシリンダ118からリフ
トフィンガ114へと運動が伝達される。ベローズ11
6は、リフトシリンダ118の空気圧膨張により、上向
きに移動する。リフトアクチュエータ120の戻りばね
により、下向きの動きが生じる。リフトシリンダ118
は、空気圧によりベローズ116を駆動して、リフトフ
ィンガ114を、これが取り得る3つのポジションに移
動させる。これらのポジションとは、プロセスのポジシ
ョン、解放のポジション、そしてリフトのポジションで
ある。3つのセンサと1つのフラグにより、ポジション
の参照地点を決定する。これらポジションセンサは、着
脱可能なスライドマウント上にある。リフトアクチュエ
ータ120は、戻りばねによりリフトフィンガ114を
下げて、リフトシリンダ118の上向きの動きをベロー
ズ116へ伝達する。
【0020】スパッタエッチプロセスチャンバ110
が、エッチング処理の環境を収容する。チャンバ110
の中で半導体ウエハにエッチバックのステップを行うた
めには、4つのタイプの適用が可能である。即ち、スパ
ッタエッチングと、反応性イオンエッチング:RIE
(Reactive Ion Etch) と、ドープスパッタエッチング
と、磁気励起によるスパッタエッチング又はRIEとで
ある。
【0021】スパッタエッチングチャンバは、誘電体膜
及びメタル膜の平坦化に用いてもよい。スパッタエッチ
ングプロセスでは、ガス散布板122を介してアルゴン
等の不活性なガスをエッチングチャンバ110の中へ供
給し、他方、所望の圧力に達するまで(典型的には1ミ
リトール〜700ミリトール)絞り弁によりポンプ輸送
速度を調節する。ウエハが置かれているカソード/ペデ
スタルに高周波(RF)電力を供給する。RF電力によ
り電子が励起振動し、ガス分子がイオン化してプラズマ
状態が形成される。更に、プラズマでは負に荷電した電
子の運動性が非常に高いため、RF電力によりウエハへ
負の自己バイアス電圧が誘起される。この負の電圧はイ
オンを引き付けて加速するため、イオンがウエハ表面に
衝突するようになり、ウエハ表面から原子や原子団をス
パッタ放出させる。このように、表面原子のスパッタ除
去により、ウエハにはエッチングが施される。
【0022】反応性イオンエッチングのプロセスでは、
プラズマの発生には、スパッタエッチングと類似の方法
が用いられるが、ここではチャンバ110にCF4 及び
/又はCHF3 等の化学物質が供給される点が異なって
いる。プラズマにより、化学分子を破壊し、反応性の高
いラジカル(FやCF3 等)を生成する。これらのラジ
カルは、ウエハ表面の原子や原子団、例えばSiやSi
2 と反応し、揮発性の化合物を生成し、これはポンプ
輸送により排出される。更に、ウエハ上へのイオンの衝
突により、化学反応速度が高くなり、ウエハ表面のエッ
チレイト(エッチング速度)が増加する。
【0023】ドープスパッタエッチングは、アルゴンガ
スに少量の反応性のガス(CF4 等)をドープすること
により、スパッタエッチングに反応性イオンエッチング
を組合わせる。スパッタリングと化学反応とにより、ウ
エハ表面の除去が行われる。スパッタエッチングプロセ
スに表面化学反応を加えることによって、エッチレイト
が増加する。
【0024】磁気励起スパッタエッチング又はRIEに
おいては、磁気がプラズマ中の電子を閉じ込めて、エネ
ルギーが与えられた電子のチャンバ壁への損失が低減さ
れる。この結果、プラズマの密度が高められる。プラズ
マが高められることにより、所与のRF電力に対しての
ウエハバイアス電圧が低減され、所与のウエハバイアス
電圧に対する操作圧力を低くすることができるようにな
る。このような理由により、エッチングチャンバにはし
ばしば磁場が用いられる。
【0025】(2)堆積層内のボイドの例示 図2は、メタル導電体の上に堆積した絶縁珪素酸化物の
断面200を示しており、ここでは、堆積層内にボイド
が形成されている。半導体層202がメタル導電体の層
204を支持している。メタル導電体204の上には絶
縁層として、珪素酸化物206が堆積している。ここ
で、アスペクト比は、メタル導電体204の縦側壁20
8の高さと、隣接し合う導電体同士の間隔との比として
定義される。上述の如く、高いアスペクト比を得るため
の従来技術の堆積技術を用いれば、隣接し合う縦側壁2
08に堆積した珪素酸化物は一緒に成長する傾向があ
る。堆積層のコーナー部分同士が先にくっつき、その結
果、珪素酸化物層にボイド210が形成されることとな
る。絶縁層の中のボイドは排除すべきであり、何故な
ら、一例を挙げれば、デバイスの動作に影響し得る不要
な不純物をトラップするからである。
【0026】従って、堆積した共形な珪素酸化物膜のス
テップカバレージを改善するため、本発明は、3ステッ
プの、FSG堆積/エッチバック/FSG堆積のプロセ
スを目指すものである。
【0027】(3)FSG堆積/エッチバック/FSG
堆積のプロセス 図3は、本発明の3ステップのFSG堆積/エッチバッ
ク/FSG堆積のプロセス300を例示するフローチャ
ートを示している。
【0028】ステップ310では、弗素ドープ珪素酸化
物層の初期の堆積操作が半導体基板上でなされ、メタル
導電体同士の間のギャップを部分的に充填する。1つの
具体例では、基板上にノンドープ(アンドープ: undop
ed)のシリケートガラス(USG:undoped silicate g
lass)を短時間に堆積することにより、弗素ドープ珪素
酸化物層の初期の堆積操作を先行させてもよい。このノ
ンドープのシリケートガラス層により、エッチングとF
SGの堆積とを同時に行ってギャップの頂部の閉鎖性を
調節する前に、FSG層の弗素とメタル導電体との反応
はあったとしても排除される。好ましい具体例では、こ
のプロセスステップはPECVD堆積チャンバ、例えば
図1(a)に示されるPECVD堆積チャンバの中で遂
行される。珪素酸化物層が、側壁が凹型となる程には厚
くならないようにメタル導電体のコーナー部を覆った時
点で、初期の堆積操作を終える必要がある。好ましい初
期の堆積プロセスのステップは、後に詳述する。
【0029】ステップ320では、エッチバックを行っ
て、初期の珪素酸化物堆積層の一部をエッチングにより
除去する。好ましい具体例では、ステップ310で半導
体基板上に初期の堆積の操作が行われた後、基板が堆積
チャンバから取り出され、エッチバックチャンバ、例え
ば図1(b)に示されるチャンバの中に配置される。好
ましい具体例では、堆積チャンバからエッチバックチャ
ンバへの基板の移送は真空シールの下で行われると理解
されるべきである。堆積層の中でメタル導電体のコーナ
ー部を覆っている特定の部分がエッチングされて除去さ
れ、残りの堆積層のプロファイルが従来技術のプロセス
ほどにはボイドの形成を促さないようになる。具体的に
は、アルゴンをエッチバックチャンバに導入し、導電体
コーナー部の上の堆積層の一部をエッチングして取り去
り、堆積物のプロファイルに傾斜を形成して、次の堆積
ステップでギャップの充填性を改善できるようにする。
好ましいスパッタエッチバックのステップを、後に詳述
する。
【0030】ステップ330では、弗素ドープの珪素酸
化物層の最終の堆積操作が半導体基板に対して行われ、
導電体のメタル層の上に残りの絶縁層を充填する。好ま
しい具体例では、プロセスステップは再びPECVDチ
ャンバ、例えば図1(a)に示されるチャンバで行われ
る。最終の堆積操作により、導電体の上の絶縁層が更に
共形となり、何故なら、ステップ320のエッチングの
ステップで形成された傾斜によって、珪素酸化物がボイ
ドの形成なしにギャップを更に完全に充填できるように
なるからである。好ましい最終の堆積プロセスステップ
は、後に詳述する。
【0031】(4)初期のFSG堆積のステップ 本発明のプロセスに従って、弗素ドープ珪素酸化物層の
バルクが、種々の異なるプロセスの何れかを用いて形成
されてもよい。
【0032】3つのFSG膜プロセスレシピ、即ち、S
iF4 を弗素ソースとして用いるプロセスレシピ、トリ
エトキシフルオロシラン(TEFS:triethoxyfluoros
ilane )を弗素ソースとして用いるプロセスレシピ、C
26を弗素ソースとして用いるプロセスレシピを、例と
して以下に述べる。これらのプロセスは、1995年1
0月2日に出願の米国特許出願S.N.08/538,
696号及び1995年10月26日に出願の米国特許
出願S.N.08/548,391号にも記載されてい
る。弗素ドープ珪素酸化物層は、また、例えばNF3
FASI−4等のその他の弗素ドーパントを用いて堆積
することもできる。
【0033】代表的なプロセスは、PECVDリアクタ
10(図1)において行われてもよい。無論、バルクF
SG膜の堆積には他のプロセスレシピや他のタイプの反
応チャンバを用いてもよいことが、いわゆる当業者には
理解されるだろう。
【0034】(A)SiF4 −FSG膜の堆積 図1を参照し、本発明に従った代表的なSiF4 −FS
G膜の堆積に際し、真空ロックドアを介して真空チャン
バ15内にウエハを搬入し、サセプタ12に載せる。そ
して、サセプタを処理のポジション14に移動させる。
この処理のポジション14では、ガス散布マニホールド
11から約200〜600mil(1mil=約25.
4μm)の位置に配置される。
【0035】ウエハを適正に配置した後、ウエハ及びサ
セプタを200〜500℃の温度まで加熱し、ガス散布
マニホールドからプロセスガスを反応チャンバの中へ導
入する。このプロセスガスは、ガス状の混合物であり、
弗素のガス状ソースとしてSiF4 と、珪素のソースと
してTEOSと、1つ以上の酸素のガス状ソースとを備
えている。
【0036】TEOSは、室温では液状であり、液体イ
ンジェクションバルブ等によって気化され、ヘリウム等
の不活性なキャリアガスと混合される。TEOSがイン
ジェクションバルブへ流入する流量は、約400〜15
00mgmである。気化の後、TEOSガスソースは、
流量400〜1500sccmで導入されたヘリウムキ
ャリアガスと混合される。SiF4 が流量100〜25
00sccmで導入され、O2 の形態の酸素又は同様の
ソースが、流量約300〜3000sccmで導入され
る。ガス混合チャンバ内に流入するガス及びガス散布マ
ニホールドの中に流入するガスの全流量は、約1000
〜5000sccmである。反応チャンバの中に約1〜
100トールの圧力を選択して堆積操作の間これを維持
する事を行うため、絞り弁32を真空ポンプシステムと
組合わせ、更にプロセスガスを導入する。処理の条件を
設定した後、単一の周波数又は混合周波数のRF電力源
を用いてプラズマを形成する。電力源は、13.56M
Hzの高周波数では0〜1200ワットで、約350k
Hzの低周波数では約0〜500ワットでドライブされ
る。
【0037】上記の種々のプロセスパラメータは、ウエ
ハサイズ200mmに対して適しているものである。こ
れら全ての値は、ウエハのサイズに応じて増減されるこ
とが、いわゆる当業者には理解されよう。
【0038】本発明に従ってSiF4 −FSG膜の形成
を行うためには、他の珪素ソース及び酸素ソースをSi
4 と共に用いることもできる。例えば、シラン(Si
4)とN2O は、許容される組合わせである。しか
し、本発明の好ましい具体例ではTEOS(Si(OC
254) を珪素ソースとして用いており、何故なら、
TEOSを用いて堆積したSiF4 −FSG膜は、共形
性(コンフォーマル性)が高く、ギャップ充填性が良好
であり、高い堆積速度で堆積することが可能だからであ
る。無論、テトラメチルシラン(Si(CH34)、ヘ
キサメチルジシロキサン((CH36OSi2)等のそ
の他の有機シランを珪素含有ソースとして用いてもよ
い。
【0039】(B)TEFS−FSG膜の堆積 TEFS−FSG膜を堆積するには、ウエハ及びサセプ
タを200〜500℃、好ましくは350〜500℃、
最も好ましくは約400℃の温度に加熱し、この温度範
囲を堆積操作の間維持する。反応チャンバが、約1〜5
0トールの範囲の中の圧力に維持される。好ましくは、
この圧力は、約3〜16トールに維持され、最も好まし
くは約5トールに維持される。サセプタは、ガス散布マ
ニホールドから約200〜600milに配置され、好
ましくはマニホールドから約250milの位置に配置
される。
【0040】弗素ソースとしてTEFSと、珪素のソー
スとしてTEOSと、1つ以上の酸素のガス状ソースと
を備える混合物が形成される。液体であるTEFSとT
EOSソースとを気化した後、ヘリウム等の不活性なキ
ャリアガスと混合される。TEFSの流量は、約200
〜1500mgm、好ましくは約300〜900mg
m、最も好ましくは約500mgmであり、TEOSの
流量は、約400〜1500mgm、好ましくは約50
0mgmである。そして、気化したTEOSガスとTE
FSガスは、流量400〜1500sccmで流入する
ヘリウムキャリアガスと混合され、好ましくは約560
sccmの流量で流れる。O2 の形態の酸素が約100
〜5000sccmの流量で導入され、好ましくは約1
200sccmの流量で導入される。この混合物は、ガ
ス散布マニホールドから反応チャンバの中へ導入され、
励起されてプラズマ状態となる。プラズマの形成には、
周波数13.56MHzを0〜500ワット、好ましく
は約155ワットと、約10kHz〜2MHz、好まし
くは350kHzの低いRF(radio frequency) を0〜
900ワット、好ましくは約230ワットを用いる。
【0041】TEFS対TEOSの比は、0.33〜1
0:1、好ましくは1〜3:1である。全てのガスソー
スの全流量は、500〜6500sccm、好ましくは
1500〜2500sccmである。
【0042】上記の条件の結果、圧縮応力レベルが−
0.5〜−3.0x109 ダイン/cm2 、熱酸化物膜
に対するウェットエッチング比が6:1 BOEエッチ
ャントに対して3〜5、弗素原子濃度が0.5〜15の
FSG膜が、3000〜7000オングストローム/分
の速度で堆積する。好ましくは、得られた膜の弗素濃度
は、0.5〜6% SiFであり、これは、SiF結合
とSiO結合のピーク比のフーリエ変換赤外(FTI
R)スペクトルにより測定されるものである。得られた
膜の弗素濃度は最も好ましくは、1.0〜4.0% S
iFである。
【0043】上記のプロセスパラメータは、サイズ20
0mmのウエハに適したものである。これら全ての値
は、ウエハのサイズに応じて増減されることが、いわゆ
る当業者には理解されよう。
【0044】(C)C26−FSG膜の堆積 C26−FSG膜を堆積するには、ウエハ及びサセプタ
を、200〜500℃の温度、好ましくは350〜50
0℃の範囲の温度、最も好ましくは約400℃の温度に
加熱し、この温度範囲を堆積操作の間維持する。電極間
隔は約200〜600milの範囲であり、好ましくは
約250milである。
【0045】弗素のガスソースとしてC26と、珪素の
ソースとしてTEOSと、1つ以上の酸素のガス状ソー
スとをを備えるガス状混合物が、ガス散布マニホールド
から反応チャンバの中へと導入され、RFプラズマが形
成される。プラズマの形成には、周波数13.56MH
zを0〜500ワット、好ましくは約200ワットと、
約10kHz〜2MHz、好ましくは350kHzであ
る低いRFを0〜900ワット、好ましくは約430ワ
ットを用いる。
【0046】先ず、TEOSソースを気化し、次いで、
ヘリウム等の不活性なガスと混合する。TEOSの流量
は、約400〜1500mgm、好ましくは約600m
gmである。気化の後、TEOSガスソースは、流量4
00〜1500sccm、好ましくは約760sccm
の流量で流入するヘリウムキャリアガスと混合される。
26が流量100〜900sccmで導入され、好ま
しくは流量約400sccmで導入される。酸素がO2
の形態で流量約100〜5000sccm、好ましくは
流量約1200sccmで導入される。
【0047】反応チャンバは、約1〜50トールの範囲
の圧力、好ましくは約3〜16トールの圧力、最も好ま
しくは約5トールの圧力に維持される。C26対TEO
Sの比は、およそ1〜25:1、好ましくはおよそ5〜
15:1である。他方、全てのガスソースの全流量は、
500〜6200sccm、好ましくは約2000〜3
000sccmである。
【0048】上記の条件の結果、圧縮応力レベルが0〜
−2.0x109 ダイン/cm2 、熱酸化物膜に対する
ウェットエッチング比が6:1 BOEエッチャントに
対して3〜5、弗素原子濃度が0.5〜15のFSG膜
が、3000〜6000オングストローム/分の速度で
堆積する。得られた膜の弗素濃度は、好ましくはおよそ
0.5〜6% SiFであり、最も好ましくは、およそ
1.0〜4.0% SiFである。
【0049】上記のプロセスパラメータは、サイズ20
0mmのウエハに適したものである。これら全ての値
は、ウエハのサイズに応じて増減されることが、いわゆ
る当業者には理解されよう。
【0050】上掲の全てのプロセスにおけるこれらのパ
ラメータは、特許請求の範囲を限定するものではないと
理解されるべきである。また、いわゆる当業者には、こ
の他の化学品、チャンバパラメータ及び条件を用いて、
同様の膜を製造することも可能であろう。
【0051】図4(a)は、半導体ウエハ上への初期の
堆積のステップの効果を示すものである。図2に示され
るように、半導体基板402は、メタル導電体層404
を支持している。珪素酸化物406が絶縁層として、メ
タルウエハ導電体404の上に堆積する。珪素酸化物が
メタル導電体のコーナーをカバーしている地点に、珪素
酸化物の初期層406が堆積するが、これは、その後の
エッチバックのステップでエッチングにより除去するこ
とができなくなる程迄には厚くならない。このように、
初期の堆積のステップが完了したときには、珪素酸化物
406の輪郭は、コーナー部408が導電体404の上
コーナー部を越えて伸びているようになっている。図2
に関連して説明したように、この方法でそのまま堆積操
作を続ければ、絶縁層にはボイドが形成されるだろう。
従って、誘電層の堆積が完了する前に、スパッタエッチ
バックのステップが行われる。
【0052】(5)エッチバックのステップ 本発明のプロセスに従い、半導体基板は次に、スパッタ
エッチバックのステップを受けて、メタル層の上に最初
に堆積した弗素ドープ珪素酸化物の一部をエッチングに
より取り去る。代表的なエッチバックのステップは、例
えば図1(b)に示されるようなエッチバックチャンバ
で行ってもよい。好ましい具体例では、プロセスの第1
のステップの堆積チャンバから第2のステップのエッチ
バックチャンバへの半導体基板の移動が、同じCVDメ
インフレームの中で真空シール下で行われる。チャンバ
の圧力は、25〜100ミリトールの範囲にあってもよ
い。チャンバにはアルゴンが流量20〜200sccm
で導入されてもよい。このスパッタエッチバックのステ
ップは、10〜300秒の間実行される。初期のFSG
堆積層の上にアルゴンを用いてスパッタリングすること
により、メタル導電体のコーナーの上にある堆積層の一
部がエッチングにより取り去られて堆積物のプロファイ
ルに傾斜が形成され、その後の堆積のステップでのギャ
ップ充填性が改善されるようになる。エッチレイトは水
平面から45゜の方向には、水平表面に対するよりも
3.5〜4倍速い。
【0053】図4(b)は、半導体基板402のエッチ
バックのステップの効果を示すものである。珪素酸化物
406はまだメタル導電体404をカバーしてこれを絶
縁している。しかし、ボイドの形成を促進するようなプ
ロファイルではなく、エッチングのステップでは、エッ
チングによりコーナー408(図4(a))を取り去
り、傾斜面410を形成する。ここに、珪素酸化物の最
終の堆積がなされて、メタル導電体404の上を誘電層
が完全に覆ったとき、この傾斜面410により、ギャッ
プの充填性の向上が確保される。
【0054】(6)最終のFSG堆積のステップ 3ステップのFSG堆積/エッチバック/FSG堆積の
プロセスは、最終のFSG堆積のステップによって完結
する。初期のFSG堆積のステップに用いた堆積チャン
バに基板が戻され、この初期のFSGの堆積工程と同じ
方法で、最終のFSG堆積のステップが完結する。従っ
て、例えば上記に概略を説明した3つの代表的なFSG
堆積の方法の何れを用いて、絶縁層の堆積を完結しても
よい。絶縁層の残りが完結するまで、珪素酸化物の堆積
が続けられる。1つの具体例では、最終のFSGの堆積
のステップの後に、ノンドープのシリケートガラスのキ
ャップ層の堆積が行われてもよい。ギャップが充填され
たなら、USGを高い速度で堆積することにより、所望
の誘電体の厚さを得るためのプロセスをスピードアップ
することができる。ギャップが充填されれば、エッチン
グ/堆積を同時に行うことは必要がなくなる。その後、
絶縁層を既知の方法、例えばケミカルメカニカルポリシ
ング(CMP)等で平坦化してもよい。そして、更にメ
タル導電体をその上に堆積して、次のメタル層を形成し
てもよい。
【0055】図4(c)は、完結した堆積物及び絶縁層
の平坦化を示すものである。珪素酸化物406は、メタ
ル導電体404を完全に覆っており、次のメタル層40
4の堆積が可能になる。最も重要なことは、本発明で
は、アスペクト比が高い場合に対して、ボイド210
(図2)の形成を排除することであり、詳細は後述す
る。
【0056】(7)試験測定 具体例の1つでは、本発明の3ステップのFSG堆積/
エッチバック/FSG堆積のプロセスは、以下のパラメ
ータを用いて遂行してもよい。
【0057】初期のFSG堆積のステップでは、堆積チ
ャンバを440℃の温度に加熱し、ガス散布マニホール
ドからプロセスガスを反応チャンバの中に導入する。プ
ロセスガスは、弗素のガス状ソースとしてのSiF4
と、珪素ソースとしてのTEOSと、1つ以上の酸素の
ガス状ソースとを備えたガス状混合物である。TEOS
がインジェクションバルブへ流入する流量は、915m
gmである。気化の後、TEOSガスソースを、流量7
00sccmで導入されるヘリウムキャリアガスと混合
する。SiF4 が流量450sccmで導入され、酸素
がO2 の形態として流量700sccmで導入される。
反応チャンバは5トールの圧力を選択して設定され、堆
積操作の間これが維持される。処理の条件を設定した
後、混合周波数RF電力源を用いてプラズマが形成され
る。電力源は、13.56MHzの高い周波数では40
0ワット、約350kHzの低い周波数では110ワッ
トでドライブされる。初期のFSG堆積操作は14秒間
実施され、これにより1000オングストロームのFS
G層が堆積する。この時点で、珪素酸化物層がメタル導
電体のコーナーを覆うが、次のエッチバックのステップ
でエッチングにより取り去ることができない程には厚く
なっていない。別の具体例では、ノンドープのシリケー
トガラスの予備的なライナを深さ500オングストロー
ム堆積した後、FSG層を深さ500オングストローム
堆積して、トータルの初期の堆積物を1000オングス
トロームとしてもよい。この具体例では、FSG堆積層
の堆積時間は7秒である。
【0058】初期のFSG堆積のステップが完結した
後、半導体基板は堆積チャンバからエッチバックチャン
バへと移送される。スパッタエッチバックのステップで
は、チャンバの圧力を25ミリトールに設定し、電力源
を300〜400ワット、好ましくは350ワットでド
ライブする。チャンバ壁及びペデスタルは、室温とす
る。チャンバに流量50sccmでアルゴンを導入す
る。スパッタエッチバックのステップを10〜150
秒、好ましくは98秒行い、その結果FSG層のコーナ
ーの500オングストロームがエッチングにより取り去
られて傾斜面が形成され、これはボイドの形成の促進性
が非常に低い。ここに、珪素酸化物の最終の堆積がなさ
れて、メタル導電体の上を誘電層が完全に覆ったとき、
この傾斜面により、ギャップの充填性の向上が確保され
る。
【0059】スパッタエッチバックのステップが完結し
た後、半導体基板はエッチバックチャンバから堆積チャ
ンバへと移送される。最終のFSG堆積のステップで
は、堆積チャンバは440℃の温度に加熱され、ガス散
布マニホールドから反応チャンバへとプロセスガスが導
入される。プロセスガスはここでも、弗素のガス状ソー
スとしてのSiF4 と、珪素ソースとしてのTEOS
と、1つ以上の酸素のガス状ソースとを備えたガス状混
合物である。TEOSがインジェクションバルブへ流入
する流量は、915mgmである。気化の後、TEOS
ガスソースを、流量700sccmで導入されるヘリウ
ムキャリアガスと混合する。SiF4 が流量450sc
cmで導入され、酸素がO2 の形態として流量700s
ccmで導入される。反応チャンバは5トールの圧力を
選択して設定され、堆積操作の間これが維持される。処
理の条件を設定した後、混合周波数RF電力源を用いて
プラズマが形成される。電力源は、13.56MHzの
高い周波数では400ワット、約350kHzの低い周
波数では110ワットでドライブされる。最終ののFS
G堆積操作は77秒間実施され、これにより、メタル層
の上に更にFSG層を5500オングストローム堆積し
て、絶縁層の堆積が完結する。
【0060】絶縁層を完成させるため、次のメタル導電
体を基板に付加する前に、ノンドープシリケートガラス
のキャップ層を109秒堆積して、深さ10,000
オングストロームとしてもよい。
【0061】図5は、本発明の3ステップのFSG堆積
/エッチバック/FSG堆積のプロセスによる向上の様
子を提示するものである。この図は、試験の手順におい
て行われた実際の条件を結果を示すものである。この顕
微鏡写真に示されるように、典型的な導電体の高さが
0.75ミクロンの場合に、ギャップ幅が0.45ミク
ロンまで狭くなるまで、ボイドの形成は開始していな
い。このように、本発明のプロセスにより、アスペクト
比の高い絶縁層、例えばアスペクト比が約1.67の絶
縁層の堆積を可能とし、従来技術の方法に対して著しい
向上を提示する。
【0062】大変小さなギャップであってもアスペクト
比が高ければ、ボイドが発生する可能性がある。しか
し、本発明のプロセスを用いれば、ギャップ幅が0.4
5ミクロン未満の図5に示されるように、ボイドはメタ
ル導電体の下方の領域に制限される。しかしながら、マ
イクロチップの用途の多くでは、このような領域はその
後の処理では扱われないため、この様なことは許容され
る。従って、本発明の3ステップのFSG堆積/エッチ
バック/FSG堆積のプロセスは、ギャップ幅が0.4
0ミクロン未満の場合でも、IMDの用途に適用可能で
ある。別のFSG堆積/エッチバック/FSG堆積のシ
ーケンスを加えて、ボイドが生じるギャップのサイズを
更に小さくしてもよい。
【0063】図6は、本発明を実施することが可能な処
理システム600を例示する。堆積チャンバ602は堆
積のステップに用いられ、エッチバックチャンバ604
はエッチングのステップに用いられる。ロボット606
は、ロードロックチャンバ608の中のチャンバ間でウ
エハを移送する。ウエハは先ずカセット610から供給
されるが、ウエハはこのカセット610からロードロッ
クチャンバ608の保管エレベータ612に移送され
る。ロボット606は初期の堆積のステップのため、ウ
エハを保管エレベータ612から堆積チャンバ602へ
と移送する。このような構成を有するシステムの1つ
に、アプライドマテリアルズ社に製造されるプレシジョ
ン5000システム(Precision 5000 System) がある。
【0064】本発明はここまで、特定の具体例に関して
説明してきた。いわゆる当業者には、その他の具体例が
明らかであろう。例えば、代替可能な具体例では、堆積
チャンバ及びエッチバックチャンバは、別々のメインフ
レームに配置されてもよい。
【0065】
【発明の効果】以上詳細に説明したように、本発明によ
れば、絶縁層をメタル層の上に堆積する際、高いアスペ
クト比のギャップ充填能力を有することが可能になる。
【図面の簡単な説明】
【図1】(a)は、単純化した化学気相堆積装置の1つ
の具体例における縦断面図であり、(b)は、単純化し
たエッチバックチャンバの1つの具体例における断面図
である。
【図2】ボイドが既に堆積層に形成されているメタル導
電体の上に堆積した絶縁珪素酸化物の単純化した断面図
である。
【図3】本発明のFSG堆積/エッチバック/FSG堆
積の3ステップのプロセスを例示するフローチャートで
ある。
【図4】(a)は、本発明に従った第1の絶縁層の堆積
工程を例示する、半導体デバイスの単純化した断面図で
あり、(b)は、本発明に従った第1の絶縁層のエッチ
バックの効果を例示する、半導体デバイスの単純化した
断面図であり、(c)は、本発明及び適切な平坦化のス
テップに従って、第2の堆積のステップの後の完成した
絶縁層を例示する、半導体デバイスの単純化した断面図
である。
【図5】本発明のFSG堆積/エッチバック/FSG堆
積の3ステップのプロセスにより提供された、向上した
ギャップ充填能力を示す、SEM写真である。
【図6】本発明に用いられるマルチチャンバシステムの
構成図である。
【符号の説明】
10…PECVDリアクタ、11…ガス散布マニホール
ド、12…サセプタ、13…支持体、14…処理のポジ
ション、15…真空チャンバ、21,22…矢印、23
…ポート、24…真空マニホールド、26…外部ランプ
モジュール、27…光、28…クオーツウィンドウ、3
1…排気ライン、32…絞り弁、34…プロセッサ、3
6…制御ライン、110…エッチバックチャンバ、11
2…ペデスタル、114…リフトフィンガ、116…ベ
ローズ、118…リフトシリンダ、120…リフトアク
チュエータ、122…ガス散布板、200…断面、20
2…半導体層、204…メタル導電体、206…珪素酸
化物、208…縦側壁、210…ボイド、402…半導
体基板、404…メタル導電体、406…珪素酸化物、
408…コーナー部、410…傾斜面、600…処理シ
ステム、602…堆積チャンバ、604…エッチバック
チャンバ、606…ロボット、608…ロードロックチ
ャンバ、610…カセット、612…保管エレベータ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アンドリュー コナーズ アメリカ合衆国, カリフォルニア州, ロス ガトス, サンタ アナ ロード 21580 (72)発明者 アナンド ギュプタ アメリカ合衆国, カリフォルニア州, サン ノゼ, ブライアークリーク コー ト 1270 (72)発明者 シン ゴー アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, フィリス アヴェ ニュー 1105 (72)発明者 スーニル ホン アメリカ合衆国, カリフォルニア州, ロス アルトス, マグダレナ アヴェニ ュー 550

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 基板に層を堆積するプロセスであって、 弗素ドープ珪素酸化物を備える第1の膜を基板に堆積さ
    せるステップと、 不活性なガスで基板にスパッタリングすることにより、
    前記第1の膜の一部を前記基板からエッチングするステ
    ップと、 弗素ドープ珪素酸化物を備える第2の膜を前記第1の膜
    の上に堆積させるステップとを有するプロセス。
  2. 【請求項2】 前記不活性なガスがアルゴンを備える請
    求項1に記載のプロセス。
  3. 【請求項3】 プラズマ励起化学気相堆積(PECV
    D)のプロセスにより、前記弗素ドープ珪素酸化物が堆
    積する請求項1に記載のプロセス。
  4. 【請求項4】 前記弗素ドープ珪素酸化物が、SiF4
    と、TEOSと、酸素とを備えるプラズマから形成され
    る請求項3に記載のプロセス。
  5. 【請求項5】 前記弗素ドープ珪素酸化物が、TEFS
    と、TEOSと、酸素とを備えるプラズマから形成され
    る請求項3に記載のプロセス。
  6. 【請求項6】 前記弗素ドープ珪素酸化物が、C2
    6と、TEOSと、酸素とを備えるプラズマから形成さ
    れる請求項3に記載のプロセス。
  7. 【請求項7】 前記弗素ドープ珪素酸化物が、200〜
    500℃の間の温度で且つ1〜100トールの圧力によ
    り堆積する請求項3に記載のプロセス。
  8. 【請求項8】 前記第1の膜を堆積させる前に、エッチ
    ングドーパントなしに初期の膜を堆積させるステップを
    更に有する請求項1に記載のプロセス。
  9. 【請求項9】 前記初期の膜が100〜500オングス
    トロームの深さまで堆積する請求項8に記載のプロセ
    ス。
  10. 【請求項10】 前記エッチングのステップが、10〜
    150秒行われる請求項1に記載のプロセス。
  11. 【請求項11】 前記第1の膜が、前記基板上のインタ
    ーメタルのギャップの平均深さの半分の深さまで堆積す
    る請求項1に記載のプロセス。
  12. 【請求項12】 ノンドープないしアンドープのシリケ
    ートガラス(USG)の膜を、前記第の膜及び前記第2
    の膜よりも厚く堆積して、前記層を完成させるステップ
    を更に有する請求項1に記載のプロセス。
  13. 【請求項13】 基板に層を堆積するプロセスであっ
    て、 前記基板を第1のチャンバに配置するステップと、 前記基板を約200〜500℃の温度まで加熱するステ
    ップと、 前記第1のチャンバを約1〜100トールの圧力とする
    ステップと、 前記第1のチャンバに弗素含有ソースガスを導入するス
    テップと、 混合周波数のRF電力源を用いて前記弗素含有ソースガ
    スからプラズマを形成し、前記基板上に第1のフルオロ
    シリケートガラス(FSG)膜を堆積させるステップ
    と、 前記基板を第2のチャンバへ移動させるステップと、 前記第2のチャンバを約25〜100ミリトールの圧力
    とするステップと、 前記第2のチャンバに不活性なガスを導入し、前記基板
    から前記第1のFSG膜の一部をエッチングするステッ
    プと、 前記基板を第1のチャンバへ移動させるステップと、 前記基板を約200〜500℃の温度まで加熱するステ
    ップと、 前記第1のチャンバを約1〜100トールの圧力とする
    ステップと、 前記第1のチャンバに弗素含有ソースガスを導入するス
    テップと、 混合周波数のRF電力源を用いて前記弗素含有ソースガ
    スからプラズマを形成し、前記基板上に第2のフルオロ
    シリケートガラス(FSG)膜を堆積させるステップと
    を有するプロセス。
  14. 【請求項14】 集積回路を製造する方法であって、 半導体基板にメタル導電体の層を堆積するステップと、 前記半導体基板を第1のチャンバに配置させるステップ
    と、 弗素ドープ珪素酸化物を備える第1の膜を前記半導体基
    板に堆積させて、前記メタル導電体の層を覆うステップ
    と、 前記半導体基板を前記第1のチャンバから第2のチャン
    バへと移動させるステップと、 前記基板に不活性なガスでスパッタリングすることによ
    り、前記第1の膜の一部をエッチングするステップと、 前記半導体基板を前記第2のチャンバから前記第1のチ
    ャンバへと移動させるステップと、 弗素ドープ珪素酸化物を備える第2の膜を前記第1の膜
    の上に堆積させて、前記メタル導電体の層を覆うステッ
    プとを有する方法。
  15. 【請求項15】 前記不活性なガスがアルゴンを備える
    請求項14に記載の方法。
  16. 【請求項16】 プラズマ励起化学気相堆積(PECV
    D)のプロセスにより、前記弗素ドープ珪素酸化物が堆
    積する請求項14に記載の方法。
  17. 【請求項17】 前記弗素ドープ珪素酸化物が、SiF
    4 と、TEOSと、酸素とを備えるプラズマから形成さ
    れる請求項16に記載の方法。
  18. 【請求項18】 前記弗素ドープ珪素酸化物が、TEF
    Sと、TEOSと、酸素とを備えるプラズマから形成さ
    れる請求項16に記載の方法。
  19. 【請求項19】 前記弗素ドープ珪素酸化物が、C26
    と、TEOSと、酸素とを備えるプラズマから形成され
    る請求項16に記載の方法。
  20. 【請求項20】 前記弗素ドープ珪素酸化物が、200
    〜500℃の間の温度で且つ1〜100トールの圧力に
    より堆積する請求項16に記載の方法。
  21. 【請求項21】 半導体処理装置であって、 処理しようとする基板を保持するための支持体と、 堆積ガスとエッチングガスとを導入することができるガ
    ス散布システムと、 エッチングのための電磁界を与えることができる電力源
    と、 前記ガス散布システム及び前記電力源につながる出力部
    を有するコントローラと、 前記コントローラにつながり、前記半導体処理の装置の
    動作を命令するプログラムを格納するメモリであって、
    前記プログラムは、 第1に、ガスを導入して弗素ドープ珪素酸化物を備える
    膜を基板に堆積させる命令と、 第2に、前記基板から前記第1の膜の一部をエッチング
    する命令と、 第3に、前記第1の膜の上に、弗素ドープ珪素酸化物を
    備える第2の膜を堆積させる命令とを指示する1組の指
    示を備える、前記メモリとを備える半導体処理装置。
  22. 【請求項22】 前記プログラムが、前記第1の膜を堆
    積させる前に、エッチングの成分なしに初期の膜を堆積
    させる指示を更に備える請求項21に記載の装置。
  23. 【請求項23】 前記プログラムが、前記初期の層を深
    さ100〜500オングストローム堆積させる指示を更
    に備える請求項22に記載の装置。
  24. 【請求項24】 前記プログラムが、前記エッチングの
    ステップを100〜150秒続ける指示を更に備える請
    求項21に記載の装置。
  25. 【請求項25】 前記プログラムが、前記第1の膜を前
    記基板上のインターメタルのギャップの平均深さの半分
    の深さまで堆積させる指示を更に備える請求項21に記
    載の装置。
  26. 【請求項26】 前記プログラムが、ノンドープないし
    アンドープのシリケートガラス(USG)の膜を、前記
    第の膜及び前記第2の膜よりも厚く堆積して、前記層を
    完成させる指示を更に備える請求項21に記載の装置。
  27. 【請求項27】前記堆積の操作のための第1のチャンバ
    と、 前記エッチングの操作のための第2のチャンバとを更に
    備える請求項21に記載の装置。
  28. 【請求項28】 前記堆積の操作と前記エッチングの操
    作との双方を行うことができる1つのチャンバを更に備
    える請求項21に記載の装置。
JP9015895A 1996-01-09 1997-01-13 半導体基板のサブミクロンのギャップを充填するための方法及び装置 Pending JPH09219401A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/584,042 US6191026B1 (en) 1996-01-09 1996-01-09 Method for submicron gap filling on a semiconductor substrate
US08/584042 1996-01-11

Publications (1)

Publication Number Publication Date
JPH09219401A true JPH09219401A (ja) 1997-08-19

Family

ID=24335678

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9015895A Pending JPH09219401A (ja) 1996-01-09 1997-01-13 半導体基板のサブミクロンのギャップを充填するための方法及び装置

Country Status (2)

Country Link
US (1) US6191026B1 (ja)
JP (1) JPH09219401A (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980071577A (ko) * 1997-02-21 1998-10-26 도미노 후꾸미 반도체 소자 및 그 제조방법
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6821577B2 (en) 1998-03-20 2004-11-23 Applied Materials, Inc. Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
KR100546204B1 (ko) * 1999-06-25 2006-01-24 매그나칩 반도체 유한회사 반도체 소자의 층간 절연막 형성 방법
JP2007165883A (ja) * 2005-12-16 2007-06-28 Asm Japan Kk 有機シリコン酸化膜及び多層レジスト構造を形成するための方法
JP2009542011A (ja) * 2006-06-22 2009-11-26 アプライド マテリアルズ インコーポレイテッド ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
JP2014112668A (ja) * 2012-11-08 2014-06-19 Novellus Systems Incorporated ギャップフィルのための共形膜蒸着
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP2002100628A (ja) * 2000-09-25 2002-04-05 Sony Corp 半導体装置の製造方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
JP2002222858A (ja) * 2001-01-25 2002-08-09 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6475400B2 (en) 2001-02-26 2002-11-05 Trw Inc. Method for controlling the sheet resistance of thin film resistors
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6762125B1 (en) * 2001-05-14 2004-07-13 Micron Technology, Inc. Modified facet etch to prevent blown gate oxide and increase etch chamber life
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP3504940B2 (ja) * 2002-05-17 2004-03-08 沖電気工業株式会社 半導体装置の製造方法
DE10225941A1 (de) * 2002-06-11 2004-01-08 Infineon Technologies Ag Verfahren zur Füllung von Graben- und Reliefgeometrien in Halbleiterstrukturen
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN111128718A (zh) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 间隙填充方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH0964176A (ja) * 1995-08-21 1997-03-07 Oki Electric Ind Co Ltd 半導体素子の製造方法
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980071577A (ko) * 1997-02-21 1998-10-26 도미노 후꾸미 반도체 소자 및 그 제조방법
US7132134B2 (en) 1998-03-20 2006-11-07 Applied Materials, Inc. Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US6821577B2 (en) 1998-03-20 2004-11-23 Applied Materials, Inc. Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US7455893B2 (en) 1998-03-20 2008-11-25 Applied Materials, Inc. Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
KR100546204B1 (ko) * 1999-06-25 2006-01-24 매그나칩 반도체 유한회사 반도체 소자의 층간 절연막 형성 방법
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
JP2007165883A (ja) * 2005-12-16 2007-06-28 Asm Japan Kk 有機シリコン酸化膜及び多層レジスト構造を形成するための方法
JP2009542011A (ja) * 2006-06-22 2009-11-26 アプライド マテリアルズ インコーポレイテッド ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
JP2014112668A (ja) * 2012-11-08 2014-06-19 Novellus Systems Incorporated ギャップフィルのための共形膜蒸着
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
US6191026B1 (en) 2001-02-20

Similar Documents

Publication Publication Date Title
JPH09219401A (ja) 半導体基板のサブミクロンのギャップを充填するための方法及び装置
JP5405004B2 (ja) 総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法
US4668365A (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7628897B2 (en) Reactive ion etching for semiconductor device feature topography modification
US7888273B1 (en) Density gradient-free gap fill
US8414747B2 (en) High-throughput HDP-CVD processes for advanced gapfill applications
US6869880B2 (en) In situ application of etch back for improved deposition into high-aspect-ratio features
JP4790170B2 (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
KR100870853B1 (ko) 플라즈마 공정용 플라즈마 전하의 손상을 감소시키는 방법
US7205240B2 (en) HDP-CVD multistep gapfill process
KR100300177B1 (ko) 할로겐도핑된산화실리콘막의막안정성개선을위한방법및그장치
US6521302B1 (en) Method of reducing plasma-induced damage
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
JP2001520454A (ja) Hdp−cvdを用いたアモルファス・フルオロカーボン膜堆積方法
JP4044637B2 (ja) プラズマ励起cvd膜の界面品質改良のための方法
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
JP2771347B2 (ja) プラズマ化学気相成長法とその装置及び多層配線の製造方法
JP2002512440A (ja) 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
CN111424259B (zh) 高密度等离子体化学气相沉积制程形成氧化硅层的方法
CN114080661A (zh) 固化介电材料的方法与设备
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040113

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060829