JPH08167596A - Plasma treatment device, plasma treatment method, and manufacture of semiconductor device - Google Patents

Plasma treatment device, plasma treatment method, and manufacture of semiconductor device

Info

Publication number
JPH08167596A
JPH08167596A JP6331925A JP33192594A JPH08167596A JP H08167596 A JPH08167596 A JP H08167596A JP 6331925 A JP6331925 A JP 6331925A JP 33192594 A JP33192594 A JP 33192594A JP H08167596 A JPH08167596 A JP H08167596A
Authority
JP
Japan
Prior art keywords
plasma
plasma processing
processing apparatus
mesh plate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6331925A
Other languages
Japanese (ja)
Other versions
JP3353514B2 (en
Inventor
Naoki Sano
直樹 佐野
Toshiyuki Samejima
俊之 鮫島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP33192594A priority Critical patent/JP3353514B2/en
Publication of JPH08167596A publication Critical patent/JPH08167596A/en
Application granted granted Critical
Publication of JP3353514B2 publication Critical patent/JP3353514B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

PURPOSE: To provide a plasma treatment device which is capable of efficiently blocking out plasma to restrain it from causing damage to a work. CONSTITUTION: A plasma treatment device is equipped with a plasma generating chamber 10 which generates plasma and a plasma treatment chamber 20 where a work 50 to treat with plasma is arranged, wherein at least a plasma isolating mesh plate 40 is arranged between the plasma generating chamber 10 and the plasma treatment chamber 20, and openings 43 are provided in the mesh plate 40 and below twice as long in diameter as Debye length. Or, a voltage V0 which meets a formula, 0<V0 <=30 volts, may be applied to the mesh plate 40.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、プラズマ処理装置、並
びにかかるプラズマ処理装置を用いたプラズマ処理方法
及び半導体装置の作製方法に関する。より具体的には、
本発明は、プラズマ発生領域と被処理物処理領域とを分
離するリモートプラズマ法の実施に適したプラズマ処理
装置に関し、より詳しくは、プラズマCVD法やプラズ
マエッチング法、アッシング(灰化)法等において、大
面積の被処理物(例えば、ウエハや各種基板)を短時間
で良好に処理できるプラズマ処理装置、並びにかかるプ
ラズマ処理装置を用いたプラズマ処理方法及び半導体装
置の作製方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus, a plasma processing method using the plasma processing apparatus, and a semiconductor device manufacturing method. More specifically,
The present invention relates to a plasma processing apparatus suitable for carrying out a remote plasma method for separating a plasma generation area and an object processing area, and more specifically, in a plasma CVD method, a plasma etching method, an ashing method, etc. The present invention relates to a plasma processing apparatus capable of satisfactorily processing a large-area processed object (for example, a wafer or various substrates) in a short time, a plasma processing method using the plasma processing apparatus, and a method for manufacturing a semiconductor device.

【0002】[0002]

【従来の技術】例えば、アクティブマトリックス型の液
晶ディスプレイ装置においては、そのスイッチング素子
は、絶縁ゲート型トランジスタ(MISトランジスタ)
から成るTFT(Thin Film Transistor;薄膜トランジ
スタ)によって構成されている。そして、大面積のMI
S型半導体装置を低温プロセスで作製するための検討が
盛んに行われている。この場合、基板として、一般に、
硼珪酸ガラスあるいはプラスチック等の低融点材料若し
くは耐熱性の低い材料が使用されている。従って、TF
Tの作製、より具体的には、例えばゲート絶縁膜の形成
を、例えば600゜C以下の低温で行う必要がある。然
るに、600゜C以下のプロセス温度では、例えば熱酸
化といった従来のゲート絶縁膜形成方法を用いることが
できない。それ故、現在、様々なゲート絶縁膜形成技術
が検討されている。その中でも、ゲート絶縁膜形成方法
として、ゲート絶縁膜の成膜における基板温度を600
゜C以下とし得るプラズマCVD(化学的気相成長)法
が提案されている。このプラズマCVD法によるゲート
絶縁膜形成方法は、大面積且つ均一な絶縁膜の成膜が容
易であるという点で有望である。
2. Description of the Related Art For example, in an active matrix type liquid crystal display device, its switching element is an insulated gate type transistor (MIS transistor).
It is composed of a TFT (Thin Film Transistor). And a large area MI
Studies for manufacturing an S-type semiconductor device by a low temperature process have been actively conducted. In this case, as the substrate,
A low melting point material such as borosilicate glass or plastic or a material having low heat resistance is used. Therefore, TF
It is necessary to manufacture T, more specifically, to form a gate insulating film at a low temperature of, for example, 600 ° C. or lower. However, at a process temperature of 600 ° C. or lower, the conventional gate insulating film forming method such as thermal oxidation cannot be used. Therefore, various gate insulating film forming techniques are currently being studied. Among them, as the method for forming the gate insulating film, the substrate temperature in forming the gate insulating film is 600.
A plasma CVD (Chemical Vapor Deposition) method capable of controlling the temperature below ° C has been proposed. The gate insulating film forming method using the plasma CVD method is promising in that it is easy to form a large-area and uniform insulating film.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、従前の
知見によれば、プラズマCVD法による絶縁膜の形成に
おいては、低温成膜ができる利点はあるものの、プラズ
マによる絶縁膜の損傷によって、目的とする優れた特性
を有するMISトランジスタの作製が困難であるという
問題がある。即ち、例えばnチャネル型MISトランジ
スタにおいては、動作がデプレション型となる。また、
pチャネル型MISトランジスタにおいては、所定の負
の電圧をゲート電極に印加してもトランジスタがオンし
ないという閾値電圧Vthの増大化現象が生じる。その結
果、nチャネル型及びpチャネル型トランジスタを用い
た回路の集積化が困難となっている。
However, according to the previous knowledge, in the formation of the insulating film by the plasma CVD method, there is an advantage that the low temperature film formation can be performed, but the purpose of the invention is to damage the insulating film by the plasma. There is a problem that it is difficult to manufacture a MIS transistor having excellent characteristics. That is, for example, in the n-channel type MIS transistor, the operation is the depletion type. Also,
In the p-channel type MIS transistor, there occurs a phenomenon that the threshold voltage V th increases, that is, the transistor does not turn on even when a predetermined negative voltage is applied to the gate electrode. As a result, it is difficult to integrate circuits using n-channel and p-channel transistors.

【0004】このような現象は、ゲート絶縁膜の成膜時
等におけるプラズマ照射によって発生するゲート絶縁膜
中の格子欠陥に起因した正電荷によって生じると考えら
れている。即ち、この正電荷は、例えば例えばSiO2
から成るゲート絶縁膜中のSiのダングリングボンド
(未結合手)、即ち酸素空孔等の欠陥によって発生する
と考えられている。そして、この正電荷がゲート絶縁膜
と半導体(例えばSi)との界面近傍に存在すると、フ
ラットバンド電圧の負方向へのシフト(移動)がもたら
される。その結果、上述したnチャネル型MISトラン
ジスタのデプレション型化、pチャネル型MISトラン
ジスタの閾値電圧Vthの増大化が生じると考えられてい
る。また、強度のプラズマを照射した場合、上述のゲー
ト絶縁膜のプラズマ損傷と同時に、不純物がゲート絶縁
膜へ混入するという現象も起こり得る。この不純物が、
例えばナトリウムのような可動性且つイオン性の不純物
である場合、フラットバンド電圧のシフトの発生のみな
らず、MISトランジスタの素子特性の安定性が大きく
損なわれる。
It is considered that such a phenomenon is caused by positive charges due to lattice defects in the gate insulating film generated by plasma irradiation during formation of the gate insulating film. That is, this positive charge is, for example, SiO 2
It is considered that this is caused by dangling bonds (unbonded hands) of Si in the gate insulating film made of, that is, defects such as oxygen vacancies. If this positive charge exists near the interface between the gate insulating film and the semiconductor (eg, Si), the flat band voltage is shifted (moved) in the negative direction. As a result, it is considered that the above-mentioned depletion mode of the n-channel MIS transistor and increase of the threshold voltage V th of the p-channel MIS transistor will occur. Further, when intense plasma is irradiated, the phenomenon that impurities are mixed into the gate insulating film may occur simultaneously with the plasma damage to the gate insulating film. This impurity
For example, in the case of a mobile and ionic impurity such as sodium, not only the shift of the flat band voltage is generated, but also the stability of the element characteristics of the MIS transistor is greatly impaired.

【0005】フラットバンド電圧のシフトは通常数ボル
トである。従って、MISトランジスタの動作電圧が比
較的高い場合(例えば±20V程度である場合)、4V
程度のフラットバンド電圧のシフトは許容できる。しか
しながら、昨今ますます要求が高まっている低電圧駆
動、例えばCMOS回路の5V駆動を考えた場合には、
このような大きなフラットバンド電圧のシフトは許容す
ることができない。
The flat band voltage shift is typically a few volts. Therefore, when the operating voltage of the MIS transistor is relatively high (for example, about ± 20V), 4V
Moderate flat band voltage shifts are acceptable. However, when considering low voltage driving, which has become more and more demanded these days, for example, 5V driving of a CMOS circuit,
Such a large flat band voltage shift cannot be tolerated.

【0006】従って、これらの問題を解決するには、絶
縁膜/半導体界面におけるプラズマ損傷の発生を極力低
減しなければならない。そのため、ゲート絶縁膜を形成
すべき基体(半導体基板等)を直接プラズマに晒さない
ような構造を有するプラズマCVD装置の開発が活発に
行われている。例えば本出願人によって提案された平行
平板型リモートプラズマ装置はその一例である(特開平
5−21393号公報参照)。
Therefore, in order to solve these problems, it is necessary to reduce the occurrence of plasma damage at the insulating film / semiconductor interface as much as possible. Therefore, a plasma CVD apparatus having a structure in which a substrate (semiconductor substrate or the like) on which a gate insulating film is to be formed is not directly exposed to plasma has been actively developed. For example, the parallel plate type remote plasma device proposed by the present applicant is one example (see Japanese Patent Laid-Open No. 5-21393).

【0007】ところが、この公開公報に開示された平行
平板型リモートプラズマ装置を用いた場合であっても、
基体に対するプラズマ中の荷電粒子の遮蔽が完全になさ
れるわけではない。そのため、作製されたMISトラン
ジスタの素子特性に特性劣化や特性ばらつきが認められ
る。即ち、ゲート絶縁膜中に正電荷が発生し、これがフ
ラットバンド電圧のシフトをもたらし、MISトランジ
スタの素子特性を損なっている。
However, even when the parallel plate type remote plasma device disclosed in this publication is used,
The shielding of the charged particles in the plasma from the substrate is not perfect. For this reason, the device characteristics of the manufactured MIS transistor are recognized to have characteristic deterioration and characteristic variations. That is, a positive charge is generated in the gate insulating film, which causes a shift in the flat band voltage and impairs the device characteristics of the MIS transistor.

【0008】半導体装置の製造工程においては、各種材
料をプラズマエッチングしたり、フォトリソグラフィ技
術において用いられるフォトレジストを除去するために
フォトレジストのプラズマによるアッシング(灰化)を
行っている。これらの場合においても、被処理物や基体
にプラズマ損傷が発生し、被処理物や基体の品質、特性
の劣化、あるいは又、最終製品である半導体装置の特性
劣化を招いている。
In the process of manufacturing a semiconductor device, various materials are plasma-etched, and plasma ashing (ashing) of the photoresist is performed to remove the photoresist used in the photolithography technique. In these cases as well, plasma damage occurs in the object to be processed or the substrate, resulting in deterioration of the quality or characteristics of the object to be processed or the substrate, or deterioration of the characteristics of the final semiconductor device.

【0009】従って、本発明の第1の目的は、プラズマ
の遮蔽をより効率よく行うことができ、プラズマ損傷の
発生を一層効果的に抑制できるプラズマ処理装置を提供
することにある。更に、本発明の第2の目的は、プラズ
マの遮蔽をより効率よく行うことができ、基体に対する
プラズマ損傷の発生を一層効果的に抑制でき、高品位の
プラズマ処理を行い得るプラズマ処理方法を提供するこ
とにある。本発明の第3の目的は、プラズマの遮蔽をよ
り効率よく行うことができ、基体に対するプラズマ損傷
の発生を一層効果的に抑制でき、優れた特性を有する半
導体装置を作製し得る半導体装置の作製方法を提供する
ことにある。
Therefore, it is a first object of the present invention to provide a plasma processing apparatus capable of more efficiently shielding plasma and more effectively suppressing the occurrence of plasma damage. Further, a second object of the present invention is to provide a plasma processing method capable of more efficiently shielding plasma, more effectively suppressing generation of plasma damage to a substrate, and capable of performing high-quality plasma processing. To do. A third object of the present invention is to manufacture a semiconductor device capable of more efficiently shielding plasma, suppressing plasma damage to a substrate more effectively, and manufacturing a semiconductor device having excellent characteristics. To provide a method.

【0010】[0010]

【課題を解決するための手段】上記の第1の目的を達成
するための本発明の第1の態様に係るプラズマ処理装置
は、プラズマを生成するプラズマ生成室と、プラズマ処
理すべき被処理物を配置するプラズマ処理室とを備えた
プラズマ処理装置であって、プラズマ生成室とプラズマ
処理室との間に少なくとも1枚のプラズマ分離用のメッ
シュプレートが配設されており、該メッシュプレートに
は複数の開口部が設けられており、該開口部の径はプラ
ズマのデバイ長の2倍以下であることを特徴とする。
A plasma processing apparatus according to a first aspect of the present invention for achieving the above first object is a plasma generating chamber for generating plasma, and an object to be processed by plasma processing. And a plasma processing chamber for arranging the plasma processing chamber, wherein at least one mesh plate for plasma separation is arranged between the plasma generating chamber and the plasma processing chamber, and the mesh plate is A plurality of openings are provided, and the diameter of the openings is less than twice the Debye length of the plasma.

【0011】開口部の径の下限値は、メッシュプレート
における開口部の形成技術に依存する。開口部を例えば
パンチング加工で形成する場合、開口部の径の下限値は
0.1mm程度である。一方、開口部を例えばエッチン
グ加工で形成する場合、開口部の径の下限値は0.01
mm程度である。
The lower limit of the diameter of the opening depends on the technique for forming the opening in the mesh plate. When the opening is formed by punching, for example, the lower limit of the diameter of the opening is about 0.1 mm. On the other hand, when the opening is formed by etching, for example, the lower limit of the diameter of the opening is 0.01.
It is about mm.

【0012】本発明の第1の態様に係るプラズマ処理装
置においては、プラズマ生成室とプラズマ処理室との間
に2枚以上のプラズマ分離用のメッシュプレートが配設
されており、各メッシュプレートに設けられた開口部の
径は、当該メッシュプレートで仕切られた2つの空間の
内、プラズマ生成室に近い側の空間におけるプラズマの
デバイ長の2倍以下である態様を含めることができる。
In the plasma processing apparatus according to the first aspect of the present invention, two or more mesh plates for plasma separation are arranged between the plasma generation chamber and the plasma processing chamber, and each mesh plate has a mesh plate. The diameter of the opening provided may include a mode in which the Debye length of the plasma is equal to or less than twice the Debye length of the space in the space closer to the plasma generation chamber of the two spaces partitioned by the mesh plate.

【0013】更には、プラズマ生成室における電子密度
をne、電子温度をTeとしたとき、プラズマ生成室に隣
接したメッシュプレートに設けられた開口部の径を、
(kTeε0/ne21/2の2倍以下(但し、kはボル
ツマン定数、ε0は真空の誘電率、eは電子の電荷)と
する態様を含めることができる。
Furthermore, when the electron density in the plasma generating chamber is n e and the electron temperature is T e , the diameter of the opening provided in the mesh plate adjacent to the plasma generating chamber is
(KT e ε 0 / n e e 2 ) 1/2 may be twice or less (where k is Boltzmann's constant, ε 0 is the dielectric constant of vacuum, and e is the electric charge of electron).

【0014】上記の第1の目的を達成するための本発明
の第2の態様に係るプラズマ処理装置は、プラズマを生
成するプラズマ生成室と、プラズマ処理すべき被処理物
を配置するプラズマ処理室とを備えたプラズマ処理装置
であって、生成室とプラズマ処理室との間に少なくとも
1枚のプラズマ分離用のメッシュプレートが配設されて
おり、該メッシュプレートには複数の開口部が設けられ
ており、該メッシュプレートに0ボルト<V0≦30ボ
ルト、好ましくは10ボルト≦V0≦30ボルト、より
好ましくは10ボルト≦V0≦20ボルトの電圧V0を印
加し得ることを特徴とする。メッシュプレートに印加す
る電圧V0が0ボルト<V0≦30ボルトの範囲から逸脱
すると、メッシュプレート近傍のプラズマ空間電位と被
処理物の表面電位との間の電位差によってプラズマ中の
荷電粒子がこれらの間で加速されそして被処理物に衝突
する。その結果、被処理物にプラズマ損傷が発生してし
まう。
A plasma processing apparatus according to a second aspect of the present invention for achieving the above first object is a plasma processing chamber for generating plasma and a plasma processing chamber for arranging an object to be plasma-processed. And a plasma processing chamber, wherein at least one mesh plate for plasma separation is disposed between the generation chamber and the plasma processing chamber, and the mesh plate is provided with a plurality of openings. and, the mesh plate 0 volts <V 0 ≦ 30 volts, preferably said the 10 volt ≦ V 0 ≦ 30 volts, and more preferably capable of applying a 10 volt ≦ V 0 ≦ 20 volts voltage V 0 which To do. When the voltage V 0 applied to the mesh plate deviates from the range of 0 V <V 0 ≦ 30 V, the charged particles in the plasma are generated by the potential difference between the plasma space potential near the mesh plate and the surface potential of the object to be treated. Between and is struck and hits the work piece. As a result, plasma damage occurs on the object to be processed.

【0015】本発明の第2の態様に係るプラズマ処理装
置においては、プラズマ処理室に配置されたプラズマ処
理すべき被処理物の表面電位と、該被処理物に隣接する
メッシュプレート近傍のプラズマ空間電位とが略同一と
なるように、該被処理物に隣接した該メッシュプレート
に印加する電圧V0を制御する態様を含めることができ
る。
In the plasma processing apparatus according to the second aspect of the present invention, the surface potential of the object to be processed in the plasma processing chamber and the plasma space near the mesh plate adjacent to the object to be processed. A mode in which the voltage V 0 applied to the mesh plate adjacent to the object to be processed is controlled so that the potential is substantially the same can be included.

【0016】更には、プラズマ生成室とプラズマ処理室
との間に2枚以上のプラズマ分離用のメッシュプレート
が配設されており、各メッシュプレートに印加する電圧
0を略同一にする態様を含めることができる。
Further, two or more mesh plates for plasma separation are arranged between the plasma generation chamber and the plasma processing chamber, and the voltage V 0 applied to each mesh plate is made substantially the same. Can be included.

【0017】尚、本発明の第2の態様に係るプラズマ処
理装置におけるメッシュプレートに設けられた開口部に
対して、本発明の第1の態様に係るプラズマ処理装置の
特徴である開口部の径の規定を適用することもできる。
The diameter of the opening, which is a feature of the plasma processing apparatus according to the first aspect of the present invention, with respect to the opening provided in the mesh plate in the plasma processing apparatus according to the second aspect of the present invention. The provisions of can also be applied.

【0018】メッシュプレートを多数枚プラスマ処理装
置に装着することは、プラズマ遮蔽の効果が増す方向に
なる。プラズマ処理装置において薄膜を成膜する場合、
成膜速度は、基本的には気相中で生成された電気的に中
性なプリカーサーSiO*(反応前駆体)が被処理物(基
体)の表面に堆積する速度に依存する。従って、メッシ
ュプレートによって荷電粒子を遮蔽しても、メッシュプ
レートを配設していない場合と比較して、成膜速度はあ
まり変わることはない。しかしながら、あまり多数のメ
ッシュプレートを装着すると、メッシュプレート上への
膜堆積が顕著となり、被処理物(基体)表面上での成膜
速度が低下する要因となるので、注意が必要である。ま
た、メッシュプレートの枚数の上限はプラズマ処理装置
を排気する際のコンダクタンスの低下によっても制限さ
れる場合がある。それ故、本質的には上限は無いが、実
用上は最高10枚で十分である。
Mounting a large number of mesh plates in a plasma processing apparatus tends to increase the effect of plasma shielding. When forming a thin film in a plasma processing device,
The film formation rate basically depends on the rate at which the electrically neutral precursor SiO * (reaction precursor) generated in the vapor phase is deposited on the surface of the object to be processed (substrate). Therefore, even if the charged particles are shielded by the mesh plate, the film forming speed does not change much as compared with the case where the mesh plate is not provided. However, if too many mesh plates are mounted, film deposition on the mesh plates becomes noticeable, which causes a decrease in the film formation rate on the surface of the object to be processed (base), so caution is required. In addition, the upper limit of the number of mesh plates may be limited by a decrease in conductance when exhausting the plasma processing apparatus. Therefore, although there is essentially no upper limit, a maximum of 10 sheets is sufficient for practical use.

【0019】メッシュプレートの開口部の平面形状は、
円形や多角形、楕円等、任意の形状とすることができ
る。開口部の平面形状が円形の場合、開口部の径はかか
る円の直径であり、開口部の平面形状が多角形の場合、
開口部の径はかかる多角形の内接円の直径を意味する。
開口部の平面形状が楕円の場合、開口部の径はかかる楕
円の長軸の長さを意味する。また、開口部の平面形状が
任意の形状の場合、かかる形状を横切る直線と、かかる
形状の交わる2つの交点間の距離の内、最も長い距離を
開口部の径とする。開口部は、矩形、正三角形や正六角
形の頂点上等、任意のパターンに配列することができ
る。メッシュプレート単位面積当りの開口部の個数は、
メッシュプレートの全領域で一定としてもよいし、例え
ば、メッシュプレートの中央部では個数を多くし、周辺
部では個数を少なくしてもよいし、場合によっては周辺
部に開口部を設けなくともよい。各開口部の大きさは一
定であっても異なっていてもよい。開口部の軸線方向の
断面形状は、矩形や台形等、任意の形状とすることがで
きる。メッシュプレートは、例えばステンレススチール
板等にパンチングやエッチング等の穴開け加工を施すこ
とによって、あるいは又、エキスパンドメタルを加工す
ることによって、作製することができる。メッシュプレ
ート全体の平面形状は、プラズマ処理装置の形状に依存
して適宜決定すればよいが、円形が最も好ましい。
The plane shape of the opening of the mesh plate is
The shape may be arbitrary, such as a circle, a polygon, or an ellipse. When the plane shape of the opening is circular, the diameter of the opening is the diameter of the circle, and when the plane shape of the opening is polygonal,
The diameter of the opening means the diameter of such a polygonal inscribed circle.
When the planar shape of the opening is elliptical, the diameter of the opening means the length of the major axis of the ellipse. Further, when the planar shape of the opening is an arbitrary shape, the longest distance between the straight line crossing the shape and the two intersections where the shape intersects is the diameter of the opening. The openings can be arranged in any pattern, such as on the vertices of a rectangle, a regular triangle, or a regular hexagon. The number of openings per unit area of mesh plate is
It may be constant over the entire area of the mesh plate, for example, the number may be increased in the central portion of the mesh plate and decreased in the peripheral portion, or in some cases, no opening may be provided in the peripheral portion. . The size of each opening may be constant or different. The cross-sectional shape of the opening in the axial direction can be any shape such as a rectangle or a trapezoid. The mesh plate can be produced, for example, by subjecting a stainless steel plate or the like to punching such as punching or etching, or by working an expanded metal. The planar shape of the entire mesh plate may be appropriately determined depending on the shape of the plasma processing apparatus, but a circular shape is most preferable.

【0020】また、本発明の第1及び第2の態様に係る
プラズマ処理装置においては、プラズマ生成室及びプラ
ズマ処理室にガス排気部が設けられていることが好まし
い。プラズマ生成室とプラズマ処理室との間に2枚以上
のプラズマ分離用のメッシュプレートを配設する場合に
は、メッシュプレートとメッシュプレートとの間にガス
排気部を設ける態様が、プラズマ処理装置を短時間で排
気するために、一層好ましい。尚、本発明のプラズマ処
理装置においては、プラズマ処理装置のメッシュプレー
ト取付部は電気絶縁材料から成り、メッシュプレート取
付部はプラズマ処理装置の外壁の一部を構成しているこ
とが好ましい。
In addition, in the plasma processing apparatus according to the first and second aspects of the present invention, it is preferable that a gas exhaust section is provided in the plasma generation chamber and the plasma processing chamber. In the case where two or more mesh plates for plasma separation are arranged between the plasma generation chamber and the plasma processing chamber, a mode in which a gas exhaust unit is provided between the mesh plates is a plasma processing apparatus. It is more preferable to exhaust in a short time. In the plasma processing apparatus of the present invention, it is preferable that the mesh plate mounting portion of the plasma processing apparatus is made of an electrically insulating material and the mesh plate mounting portion constitutes a part of the outer wall of the plasma processing apparatus.

【0021】本発明のプラズマ処理装置として、例え
ば、膜形成を目的としたリモートプラズマCVD装置又
はスパッタ装置、エッチングを目的としたラジカルビー
ムエッチング装置、あるいは又、フォトレジストの灰化
を目的としたアッシング装置を挙げることができる。
As the plasma processing apparatus of the present invention, for example, a remote plasma CVD apparatus or a sputtering apparatus for the purpose of forming a film, a radical beam etching apparatus for the purpose of etching, or an ashing for the purpose of ashing a photoresist. A device can be mentioned.

【0022】上記の第2の目的を達成するための本発明
の第1の態様に係るプラズマ処理方法は、上記の本発明
のプラズマ処理装置を用いて、プラズマ処理室に配置さ
れた基体上に薄膜を成膜することを特徴とする。
The plasma processing method according to the first aspect of the present invention for achieving the above-mentioned second object uses the above-mentioned plasma processing apparatus of the present invention to deposit on a substrate arranged in a plasma processing chamber. It is characterized by forming a thin film.

【0023】更に、上記の第2の目的を達成するための
本発明の第2の態様に係るプラズマ処理方法は、上記の
本発明のプラズマ処理装置を用いて、プラズマ処理室に
配置された基体上に形成された薄膜をエッチングするこ
とを特徴とする。
Further, in the plasma processing method according to the second aspect of the present invention for achieving the above-mentioned second object, a substrate placed in a plasma processing chamber by using the above-mentioned plasma processing apparatus of the present invention. It is characterized in that the thin film formed above is etched.

【0024】あるいは又、上記の第2の目的を達成する
ための本発明の第3の態様に係るプラズマ処理方法は、
上記の本発明のプラズマ処理装置を用いて、プラズマ処
理室に配置された基体上に形成されたフォトレジストを
灰化することを特徴とする。
Alternatively, the plasma processing method according to the third aspect of the present invention for achieving the above-mentioned second object,
It is characterized in that the above-described plasma processing apparatus of the present invention is used to ash the photoresist formed on the substrate arranged in the plasma processing chamber.

【0025】上記の第3の目的を達成するための本発明
の第1の態様に係る半導体装置の作製方法は、半導体装
置が絶縁ゲート型電界効果トランジスタであり、上記の
本発明のプラズマ処理装置を用いて、プラズマ処理室に
配置された基体上に絶縁膜を成膜することを特徴とす
る。
In the method of manufacturing a semiconductor device according to the first aspect of the present invention for achieving the above-mentioned third object, the semiconductor device is an insulated gate field effect transistor, and the plasma processing apparatus of the above-mentioned present invention. Is used to form an insulating film on the substrate arranged in the plasma processing chamber.

【0026】本発明の第1の態様に係る半導体装置の作
製方法においては、絶縁膜は、SiO2膜、SiN膜、
SiON膜、あるいはこれらの膜の積層構造から成るこ
とが好ましい。また、基体は、単結晶半導体材料、アモ
ルファス半導体材料又は多結晶半導体材料から成ること
が好ましい。あるいは又、基体を、レーザでの加熱によ
って多結晶化された多結晶シリコン層から構成すること
ができる。
In the method of manufacturing a semiconductor device according to the first aspect of the present invention, the insulating film is a SiO 2 film, a SiN film,
It is preferably composed of a SiON film or a laminated structure of these films. Further, the base is preferably made of a single crystal semiconductor material, an amorphous semiconductor material or a polycrystalline semiconductor material. Alternatively, the substrate can be composed of a polycrystalline silicon layer that has been polycrystallized by heating with a laser.

【0027】上記の第3の目的を達成するための本発明
の第2の態様に係る半導体装置の作製方法は、半導体装
置が太陽電池であり、上記の本発明のプラズマ処理装置
を用いて、プラズマ処理室に配置された基体上に保護用
絶縁膜を成膜することを特徴とする。
In the method of manufacturing a semiconductor device according to the second aspect of the present invention to achieve the third object, the semiconductor device is a solar cell, and the plasma processing apparatus of the present invention is used. The invention is characterized in that a protective insulating film is formed on a substrate arranged in the plasma processing chamber.

【0028】[0028]

【作用】一般に、プラズマ中の荷電粒子は、反対符号の
荷電粒子に囲まれ、中心の電荷による電界は遮蔽される
ようになる。この遮蔽距離をデバイ長λDと呼ぶ。プラ
ズマ中に金属片や基体等(以下、試料と呼ぶ)を入れる
と、試料の周りにイオンシースが生じる。このイオンシ
ースにかかる電圧によって、イオンは試料側に引き込ま
れる。そして、プラズマ中の試料の電荷によって形成さ
れる電界が遮蔽され、イオンシースの外側ではプラズマ
はほぼ一定電位を保つようになる。このような領域を空
間電荷領域と呼び、この領域の電位をプラズマ空間電位
と呼ぶ。
In general, charged particles in plasma are surrounded by charged particles of opposite sign, and the electric field due to the central charge is shielded. This shielding distance is called the Debye length λ D. When a metal piece, a substrate or the like (hereinafter referred to as a sample) is put in plasma, an ion sheath is generated around the sample. Ions are drawn to the sample side by the voltage applied to the ion sheath. Then, the electric field formed by the charge of the sample in the plasma is shielded, and the plasma maintains a substantially constant potential outside the ion sheath. Such a region is called a space charge region, and the potential of this region is called a plasma space potential.

【0029】ところで、このシースの厚みはデバイ長λ
D程度となる。ここで、デバイ長λDは、電子密度を
e、電子温度をTeとしたとき、以下の式で表すことが
できる。 λD=(kTeε0/ne21/2 (1) ≒69(Te/ne1/2 但し、kはボルツマン定数、ε0は真空の誘電率、eは
電子の電荷であり、単位はMKS系である。
By the way, the thickness of this sheath is the Debye length λ.
It will be about D. Here, the Debye length λ D can be expressed by the following formula, where n e is the electron density and T e is the electron temperature. λ D = (kT e ε 0 / n e e 2 ) 1/2 (1) ≈ 69 (T e / n e ) 1/2 where k is the Boltzmann constant, ε 0 is the dielectric constant of the vacuum, and e is the electron , And the unit is MKS system.

【0030】上式からも明らかなように、デバイ長λD
はプラズマの状態によって変化する。プラズマ処理装置
にも依存するが、通常のRFグロー放電による、比較的
デバイ長λDが短いArプラズマの場合、供給RF電力
が10Wの条件下では、電子温度Te及び電子密度n
eは、それぞれ2eV、109cm-3程度である。従っ
て、デバイ長λDは0.33mm程度となる。
As is clear from the above equation, the Debye length λ D
Varies depending on the plasma state. Although it depends on the plasma processing apparatus, in the case of Ar plasma with a relatively short Debye length λ D due to a normal RF glow discharge, the electron temperature T e and the electron density n are increased under the condition that the supplied RF power is 10 W.
e is about 2 eV and 10 9 cm −3 , respectively. Therefore, the Debye length λ D is about 0.33 mm.

【0031】本発明の第1の態様に係るプラズマ処理装
置においては、メッシュプレートに設けられた開口部の
径をプラズマのデバイ長の2倍以下とする。例えば上述
の条件においては、開口部の径を0.66mm以下とす
る。
In the plasma processing apparatus according to the first aspect of the present invention, the diameter of the opening provided in the mesh plate is set to not more than twice the Debye length of plasma. For example, under the above conditions, the diameter of the opening is set to 0.66 mm or less.

【0032】開口部の径がデバイ長の2倍を越える場
合、開口部の中心部は空間電荷領域となる。そしてこの
領域は、例えばプラズマ発生室内の放電領域における空
間電荷領域のプラズマパラメータ(電子密度neや電子
温度Te)に等しくなる。その結果、メッシュプレート
の存在によって当然プラズマ密度は低下するものの、プ
ラズマ中の電荷粒子である電子や正負イオン等は被処理
物(基体)が配置されたプラズマ処理室に開口部を介し
て漏洩し、プラズマ処理室におけるプラズマ密度が高く
なる。そして、プラズマ処理室において、プラズマ放電
が起こり易くなる。即ち、プラズマ発生室の高密度プラ
ズマがメッシュプレートの開口部を介してプラズマ処理
室に漏洩し、被処理物(基体)がプラズマ損傷を受ける
原因となる。
When the diameter of the opening exceeds twice the Debye length, the center of the opening becomes the space charge region. Then, this region becomes equal to the plasma parameters (electron density n e and electron temperature T e ) of the space charge region in the discharge region in the plasma generation chamber, for example. As a result, although the plasma density naturally lowers due to the presence of the mesh plate, the charged particles such as electrons and positive and negative ions leak into the plasma processing chamber where the object to be processed (base) is placed through the opening. The plasma density in the plasma processing chamber becomes high. Then, plasma discharge easily occurs in the plasma processing chamber. That is, the high-density plasma in the plasma generation chamber leaks into the plasma processing chamber through the opening of the mesh plate, which causes plasma damage to the object to be processed (base).

【0033】一方、メッシュプレートに設けられた開口
部の径をプラズマのデバイ長の2倍以下とすることによ
って、メッシュプレートの開口部の中心部には空間電荷
領域が存在し得なくなる。即ち、メッシュプレートの開
口部全体がシース領域となっており、開口部には電界が
加わっているので、プラズマ中の荷電粒子はメッシュプ
レートに捕獲されてしまい、プラズマ処理室におけるプ
ラズマ密度が大きく低下する。言い換えれば、プラズマ
中の荷電粒子をメッシュプレートによって効果的に遮蔽
することができ、被処理物(基体)に対するプラズマ損
傷を大きく低減することができる。
On the other hand, by setting the diameter of the opening provided in the mesh plate to be not more than twice the Debye length of the plasma, the space charge region cannot exist in the center of the opening of the mesh plate. That is, since the entire opening of the mesh plate serves as a sheath region and an electric field is applied to the opening, charged particles in the plasma are trapped by the mesh plate, and the plasma density in the plasma processing chamber is greatly reduced. To do. In other words, the charged particles in the plasma can be effectively shielded by the mesh plate, and the plasma damage to the object to be processed (base) can be greatly reduced.

【0034】通常、被処理物は、プラズマ処理室内に配
設された被処理物載置部に載置されている。被処理物載
置部を或る一定電位に保ったとしても、被処理物の表面
は、プラズマ処理中のプラズマ損傷等に起因して必ずし
もこの一定電位に制御されるとは限らない。例えば、プ
ラズマ処理装置がプラズマCVD装置のような薄膜形成
装置であり、例えばSiO2膜を成膜する場合、プラズ
マ損傷の発生によって、SiO2膜中に酸素欠損を有す
るような欠陥が生じ、これが正電荷を発生し得る。そし
て、この正電荷によって、被処理物載置部がたとえ接地
されていたとしても、被処理物の表面電位は正になる。
Generally, the object to be processed is placed on the object placing part provided in the plasma processing chamber. Even if the target object placement part is kept at a certain constant potential, the surface of the target object is not always controlled to this constant potential due to plasma damage during plasma processing. For example, a thin film forming apparatus such as a plasma processing apparatus a plasma CVD apparatus, for example, when a SiO 2 film, by the generation of plasma damage entails defects such as those having oxygen deficiency in the SiO 2 film, which is It can generate a positive charge. The positive charge makes the surface potential of the object to be processed positive even if the object mounting portion is grounded.

【0035】本発明の第2の態様に係るプラズマ処理装
置においては、メッシュプレートに印加する電圧V
0を、0<V0≦30ボルトに制御することで、メッシュ
プレート近傍のプラズマ空間電位を被処理物の表面電位
に出来るだけ近づける。その結果、メッシュプレート近
傍のプラズマ空間電位と被処理物の表面電位との間の電
位差によってプラズマ中の荷電粒子がこれらの間で加速
されそして被処理物に衝突することを、効果的に防ぐこ
とができる。それ故、被処理物にプラズマ損傷が発生す
ることを効果的に防止することができる。
In the plasma processing apparatus according to the second aspect of the present invention, the voltage V applied to the mesh plate
By controlling 0 to 0 <V 0 ≦ 30 V, the plasma space potential in the vicinity of the mesh plate is brought as close as possible to the surface potential of the object to be processed. As a result, it is possible to effectively prevent charged particles in the plasma from being accelerated between them and colliding with the object to be processed due to the potential difference between the plasma space potential near the mesh plate and the surface potential of the object to be processed. You can Therefore, it is possible to effectively prevent plasma damage from occurring on the object to be processed.

【0036】[0036]

【実施例】以下、図面を参照して、実施例に基づき本発
明を説明する。尚、実施例のプラズマ処理装置において
は、金属製のメッシュプレートによって、プラズマ処理
装置の内部をプラズマ生成室とプラズマ処理室に分離す
る。そして、このようなプラズマ処理装置を用いて、プ
ラズマ損傷の発生を抑制しつつ、基体である半導体基板
上に半導体素子(例えばMISトランジスタ)を作製す
るために薄膜を成膜したり、薄膜をプラズマエッチング
したり、あるいは、半導体素子作製工程にて用いられる
フォトレジストのアッシング(灰化)を行う。尚、半導
体基板には、その全体が半導体によって構成されるバル
ク形構成による基板はもとより、例えば絶縁性若しくは
半絶縁性基板上に単結晶半導体層、多結晶半導体層、非
晶質半導体層が形成された構成による基板をも包含され
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will now be described based on embodiments with reference to the drawings. In the plasma processing apparatus of the embodiment, the inside of the plasma processing apparatus is separated into the plasma generation chamber and the plasma processing chamber by the metal mesh plate. Then, using such a plasma processing apparatus, while suppressing the occurrence of plasma damage, a thin film is formed to form a semiconductor element (for example, a MIS transistor) on a semiconductor substrate that is a base, or a thin film is formed into a plasma. Etching or ashing (ashing) of the photoresist used in the semiconductor element manufacturing process is performed. Note that the semiconductor substrate includes, for example, a single crystal semiconductor layer, a polycrystalline semiconductor layer, and an amorphous semiconductor layer formed on an insulative or semi-insulating substrate, as well as a substrate having a bulk configuration in which the entire semiconductor is formed. A substrate having the above-mentioned configuration is also included.

【0037】尚、実施例1〜実施例3は、本発明の第1
の態様に係るプラズマ処理装置(具体的には、膜形成を
目的とした平行平板型のリモートプラズマCVD装置)
に関し、実施例4及び実施例5は、本発明の第2の態様
に係るプラズマ処理装置(具体的には、膜形成を目的と
した平行平板型のリモートプラズマCVD装置)に関す
る。また、実施例6〜実施例8は、本発明の第1の態様
に係るプラズマ処理装置を用いた、本発明の第1の態様
に係るプラズマ処理方法あるいは本発明の第1及び第2
の態様に係る半導体装置の作製方法に関する。更には、
実施例9は、本発明の第2の態様に係るプラズマ処理装
置を用いた、本発明の第1の態様に係るプラズマ処理方
法に関する。
The first to third embodiments are the first embodiment of the present invention.
Apparatus according to the aspect (specifically, a parallel plate remote plasma CVD apparatus for the purpose of forming a film)
Regarding Example 4, Example 4 and Example 5 relate to a plasma processing apparatus (specifically, a parallel plate remote plasma CVD apparatus for the purpose of film formation) according to the second aspect of the present invention. In addition, Examples 6 to 8 use the plasma processing apparatus according to the first aspect of the present invention, the plasma processing method according to the first aspect of the present invention, or the first and second aspects of the present invention.
Of the present invention relates to a method for manufacturing a semiconductor device. Furthermore,
Example 9 Example 9 relates to the plasma processing method according to the first aspect of the present invention, which uses the plasma processing apparatus according to the second aspect of the present invention.

【0038】(実施例1)実施例1は、本発明の第1の
態様に係るプラズマ処理装置である。実施例1における
プラズマ処理装置は、膜形成を目的とした平行平板型の
リモートプラズマCVD装置である。その概略の構造を
図1に示す。実施例1のプラズマ処理装置は、基本的に
はプラズマ生成室10とプラズマ処理室20を備えてい
る。
(Example 1) Example 1 is a plasma processing apparatus according to the first aspect of the present invention. The plasma processing apparatus in Example 1 is a parallel plate type remote plasma CVD apparatus for the purpose of film formation. Its schematic structure is shown in FIG. The plasma processing apparatus of the first embodiment basically includes a plasma generation chamber 10 and a plasma processing chamber 20.

【0039】プラズマ生成室10は、円板形の金属製の
外壁部材11と円筒形の金属製の外壁部材12とから構
成されている。即ち、プラズマ処理装置は、金属製の外
壁部材11,12,21によって外気と隔離されてい
る。プラズマ生成室10には、バルブ18付きのガス排
気部17が設けられており、図示しない排気ポンプによ
りプラズマ生成室10内のガスを排気し、プラズマ生成
室10内を真空引きする。プラズマ生成室10へのプラ
ズマ発生用ガスの導入は、外壁部材11に設けられたバ
ルブ16付きガス導入部15から行う。SiO2を成膜
する場合、O2ガス及びHeガスをガス導入部15から
プラズマ生成室10内に導入する。プラズマを生成させ
るために、外壁部材11に取り付けられた電力導入端子
13を経て上部電極14にRF電力(13.56MH
z)が供給される。
The plasma generating chamber 10 is composed of a disk-shaped metal outer wall member 11 and a cylindrical metal outer wall member 12. That is, the plasma processing apparatus is isolated from the outside air by the metal outer wall members 11, 12, and 21. A gas exhaust unit 17 with a valve 18 is provided in the plasma generation chamber 10, and the gas in the plasma generation chamber 10 is exhausted by an exhaust pump (not shown) to evacuate the inside of the plasma generation chamber 10. The gas for plasma generation is introduced into the plasma generation chamber 10 from the gas introduction part 15 with the valve 16 provided in the outer wall member 11. When forming a film of SiO 2 , O 2 gas and He gas are introduced into the plasma generation chamber 10 from the gas introduction unit 15. In order to generate plasma, RF power (13.56 MH) is applied to the upper electrode 14 through the power introduction terminal 13 attached to the outer wall member 11.
z) is supplied.

【0040】プラズマ処理室20は、円筒形の金属製の
外壁部材21から構成されている。プラズマ処理室20
には、ガス導入部22、バルブ26付きのガス排気部2
5、バルブ28付きのガス排気部27が備えられてい
る。ガス導入部22から導入されたガスは、リング状の
ガス拡散器23に設けられた多数の小孔からプラズマ処
理室20の内部に均一に拡散される。そしてプラズマ処
理室20に備えられたガス排気部27から、図示しない
排気ポンプによって排気される。一方、プラズマ生成室
10内に導入されたプラズマ発生用のガスは図1の下方
に流れ、プラズマ処理室20のガス排気部27から排気
される。プラズマ処理室20の底部には、加熱用ヒータ
ー(図示せず)を備えそして電気的に接地された被処理
物載置部24が配設されている。この被処理物載置部2
4上にプラズマ処理すべき被処理物(例えばウエハ)5
0を載置する。
The plasma processing chamber 20 is composed of a cylindrical metal outer wall member 21. Plasma processing chamber 20
Includes a gas inlet 22 and a gas exhaust 2 with a valve 26.
5, a gas exhaust unit 27 with a valve 28 is provided. The gas introduced from the gas introduction unit 22 is uniformly diffused into the plasma processing chamber 20 through a large number of small holes provided in the ring-shaped gas diffuser 23. Then, the gas is exhausted from the gas exhaust unit 27 provided in the plasma processing chamber 20 by an exhaust pump (not shown). On the other hand, the gas for plasma generation introduced into the plasma generation chamber 10 flows downward in FIG. 1 and is exhausted from the gas exhaust unit 27 of the plasma processing chamber 20. At the bottom of the plasma processing chamber 20, there is provided a workpiece placing portion 24 that is provided with a heater (not shown) for heating and is electrically grounded. This object placement part 2
An object to be plasma-processed (eg, wafer) 5 on 4
Place 0.

【0041】プラズマ生成室10とプラズマ処理室20
とは、円筒形の金属製の外壁部材12で結ばれている。
外壁部材12の一部分は、プラズマ処理室20の外壁部
材21を介してプラズマ処理室20の内部に収納されて
おり、プラズマ処理室20内の外壁部材12の端部はガ
ス拡散器23の上方に位置する。
Plasma generation chamber 10 and plasma processing chamber 20
And are connected by a cylindrical metal outer wall member 12.
A part of the outer wall member 12 is housed inside the plasma processing chamber 20 via the outer wall member 21 of the plasma processing chamber 20, and the end portion of the outer wall member 12 inside the plasma processing chamber 20 is located above the gas diffuser 23. To position.

【0042】外壁部材12にはメッシュプレート40が
配設されている。メッシュプレート40は、絶縁材(図
示せず)を介して支持部材44によって外壁部材12に
取り付けられている。尚、外壁部材12の構造や組立方
法、メッシュプレートの取付け方法は適宜変更すること
ができる。
A mesh plate 40 is arranged on the outer wall member 12. The mesh plate 40 is attached to the outer wall member 12 by a support member 44 via an insulating material (not shown). The structure and assembly method of the outer wall member 12 and the mesh plate attachment method can be appropriately changed.

【0043】メッシュプレート40は、プラズマ生成室
10とプラズマ処理室20とを空間的に分離する。メッ
シュプレート40は金属板から成り、その平面形状は、
図1に示した実施例1のプラズマ処理装置の場合には円
板状である。この円板状のメッシュプレートには、円形
の複数の開口部43が開けられている。プラズマは、プ
ラズマ生成室10内で、上部電極14とメッシュプレー
ト40との間で発生する。
The mesh plate 40 spatially separates the plasma generation chamber 10 and the plasma processing chamber 20. The mesh plate 40 is made of a metal plate, and its plane shape is
In the case of the plasma processing apparatus of the first embodiment shown in FIG. 1, it has a disk shape. The circular mesh plate has a plurality of circular openings 43. Plasma is generated in the plasma generation chamber 10 between the upper electrode 14 and the mesh plate 40.

【0044】実施例1におけるメッシュプレートには、
0.5mm径の開口部43(平面形状は円形)が、一辺
1.0mmの正三角形の頂点上に多数配置されている。
メッシュプレート40は接地されている。プラズマ生成
室10で生成したプラズマの、式(1)で表わされるデ
バイ長λDは0.33mm程度である。従って、開口部
43の径(直径)は、プラズマ生成室10で生成したプ
ラズマのデバイ長λDの2倍以下である。
The mesh plate in Example 1 includes
A large number of openings 43 having a diameter of 0.5 mm (planar shape is circular) are arranged on the vertices of an equilateral triangle having a side of 1.0 mm.
The mesh plate 40 is grounded. The Debye length λ D represented by the equation (1) of the plasma generated in the plasma generation chamber 10 is about 0.33 mm. Therefore, the diameter of the opening 43 is not more than twice the Debye length λ D of the plasma generated in the plasma generation chamber 10.

【0045】実施例1において、プラズマ生成室10に
導入されたガスは、メッシュプレート40に設けられた
開口部43を通り抜けてプラズマ処理室20に流入す
る。開口部43の径をデバイ長λDの2倍以下とするこ
とによって、効果的にプラズマ中の荷電粒子を遮蔽する
ことができ、被処理物50に対して電気的に中性の励起
された原子種若しくは分子種が主に照射される。その結
果、被処理物50(基体)に対するプラズマ損傷を大幅
に低減することができる。
In the first embodiment, the gas introduced into the plasma generation chamber 10 passes through the openings 43 provided in the mesh plate 40 and flows into the plasma processing chamber 20. By setting the diameter of the opening 43 to not more than twice the Debye length λ D , charged particles in the plasma can be effectively shielded, and electrically neutral excitation is performed on the object to be processed 50. Atomic species or molecular species are mainly irradiated. As a result, plasma damage to the object to be processed 50 (base) can be significantly reduced.

【0046】一例として、アルゴンガスを用いたリモー
トプラズマ法において、実施例1のプラズマ処理装置の
メッシュプレート40直下の電子密度と、電力導入端子
13を経て上部電極14に供給された13.56MHz
のRF電力(以下、供給RFF電力と呼ぶ)との関係を
図2に示す。アルゴンガスの流量を30sccm、圧力
を40Pa(300ミリトル)とした。プラズマ診断法
は、例えば、AppiledPhysics Letters 65 (2), 1994, p
162 Sano, et al., に示されたラングミュアプローブ解
析を応用した手法に基づいている。
As an example, in the remote plasma method using argon gas, the electron density immediately below the mesh plate 40 of the plasma processing apparatus of Example 1 and 13.56 MHz supplied to the upper electrode 14 via the power introduction terminal 13.
FIG. 2 shows the relationship with the RF power (hereinafter referred to as the supplied RFF power). The flow rate of the argon gas was 30 sccm and the pressure was 40 Pa (300 mtorr). Plasma diagnostic methods are described, for example, in Appiled Physics Letters 65 (2), 1994, p.
162 Sano, et al., Based on a method applying the Langmuir probe analysis.

【0047】図2において、曲線(a)は、メッシュプ
レートに設けられた直径2.0mmの円形形状の開口部
が一辺4.0mmの正三角形の頂点に配置されている場
合の電子密度を示す。また、曲線(b)は、メッシュプ
レートに設けられた直径1.0mmの円形形状の開口部
が一辺2.0mmの正三角形の頂点に配置されている場
合の電子密度を示す。更に、曲線(c)は実施例1の場
合、即ち、メッシュプレートに設けられた直径0.5m
mの円形形状の開口部が一辺1.0mmの正三角形の頂
点に配置されている場合の電子密度を示す。尚、直径
2.0mm及び1.0mmの開口部においては、開口部
の径はデバイ長の2倍を越えている。各メッシュプレー
トの開口率は、全て22.7%で等しい。
In FIG. 2, a curve (a) shows the electron density when the circular opening having a diameter of 2.0 mm provided in the mesh plate is arranged at the apex of an equilateral triangle having a side of 4.0 mm. . Curve (b) shows the electron density when the circular opening with a diameter of 1.0 mm provided in the mesh plate is arranged at the apex of an equilateral triangle with a side of 2.0 mm. Furthermore, the curve (c) is the case of Example 1, that is, the diameter of the mesh plate provided on the mesh plate is 0.5 m.
The electron density when the circular opening of m is arranged at the apex of an equilateral triangle with a side of 1.0 mm is shown. In addition, in the openings having diameters of 2.0 mm and 1.0 mm, the diameter of the openings exceeds twice the Debye length. The aperture ratio of each mesh plate is equal to 22.7%.

【0048】図2から明らかなように、メッシュプレー
トの開口率が等しいにも拘わらず、電子密度が大きく異
なっている。曲線(b)と曲線(c)を比較すると、電
子密度は2桁以上も異なっていることが判る。実施例1
による曲線(c)の電子密度が低い理由は、前述したよ
うに、メッシュプレートに設けられた開口部の径がデバ
イ長の2倍以下であり、プラズマ中の荷電粒子がメッシ
ュプレートによって効果的に遮蔽されているからであ
る。
As is apparent from FIG. 2, the electron densities are greatly different even though the mesh plates have the same aperture ratio. Comparing the curves (b) and (c), it can be seen that the electron densities are different by two digits or more. Example 1
As described above, the reason why the electron density of the curve (c) is low is that the diameter of the opening provided in the mesh plate is not more than twice the Debye length, and the charged particles in the plasma are effectively absorbed by the mesh plate. Because it is shielded.

【0049】(実施例2)実施例2は、実施例1の変形
である。実施例2が実施例1と相違する点は、メッシュ
プレートの数にある。実施例1においてはメッシュプレ
ートを1枚とした。一方、実施例2においてはメッシュ
プレートを3枚とした。実施例2のプラズマ処理装置の
概要を図3に模式的に示す。
(Embodiment 2) Embodiment 2 is a modification of Embodiment 1. Example 2 is different from Example 1 in the number of mesh plates. In Example 1, one mesh plate was used. On the other hand, in Example 2, three mesh plates were used. An outline of the plasma processing apparatus of the second embodiment is schematically shown in FIG.

【0050】実施例2においては、外壁部材12にメッ
シュプレート40,41,42が配設されている。尚、
外壁部材12の端部にメッシュプレート42が配設され
ている。各メッシュプレート40,41,42は、絶縁
材(図示せず)を介して支持部材44によって外壁部材
12に取り付けられている。各メッシュプレート40,
41,42は接地されている。尚、外壁部材12の構造
や組立方法、メッシュプレートの取付け方法は適宜変更
することができる。こうして、外壁部材12とメッシュ
プレート40,41によってプラズマ分離室30が形成
され、外壁部材12とメッシュプレート41,42によ
ってプラズマ分離室31が形成される。尚、実施例2に
おいては、外壁部材12とメッシュプレート40,4
1,42との間には隙間45が形成されている。即ち、
外壁部材12の内径は、メッシュプレート40,41,
42の外径よりも大きい。
In the second embodiment, the outer wall member 12 is provided with the mesh plates 40, 41 and 42. still,
A mesh plate 42 is arranged at the end of the outer wall member 12. Each mesh plate 40, 41, 42 is attached to the outer wall member 12 by a support member 44 via an insulating material (not shown). Each mesh plate 40,
41 and 42 are grounded. The structure and assembly method of the outer wall member 12 and the mesh plate attachment method can be appropriately changed. In this way, the outer wall member 12 and the mesh plates 40 and 41 form the plasma separation chamber 30, and the outer wall member 12 and the mesh plates 41 and 42 form the plasma separation chamber 31. In the second embodiment, the outer wall member 12 and the mesh plates 40, 4
A gap 45 is formed between the first and second parts 42 and 42. That is,
The inner diameter of the outer wall member 12 is the mesh plates 40, 41,
Larger than the outer diameter of 42.

【0051】外壁部材12にはバルブ35付きガス排気
部34が設けられている。即ち、メッシュプレート40
とメッシュプレート41との間のプラズマ分離室30に
はガス排気部34が設けられている。尚、メッシュプレ
ート41とメッシュプレート42との間にガス排気部を
設けてもよい。あるいは又、各プラズマ分離室にガス排
気部を設けてもよい。プラズマ分離室は、図示しない排
気ポンプにより排気され、真空引きされる。
The outer wall member 12 is provided with a gas exhaust portion 34 with a valve 35. That is, the mesh plate 40
A gas exhaust unit 34 is provided in the plasma separation chamber 30 between the mesh plate 41 and the mesh plate 41. A gas exhaust unit may be provided between the mesh plate 41 and the mesh plate 42. Alternatively, a gas exhaust unit may be provided in each plasma separation chamber. The plasma separation chamber is evacuated by an exhaust pump (not shown) and evacuated.

【0052】メッシュプレート40,41,42は、プ
ラズマ生成室10とプラズマ処理室20とを空間的に分
離する。メッシュプレート40,41,42は、例えば
同一サイズの金属板であり、その平面形状は、図3に示
した実施例2のプラズマ処理装置の場合には円板状であ
る。この円板状のメッシュプレート40,41,42に
は、円形の複数の開口部43が開けられている。実施例
2におけるメッシュプレート40,41,42には、実
施例1と同様に、0.5mm径の開口部43(平面形状
は円形)が、一辺1.0mmの正三角形の頂点上に多数
設けられている。
The mesh plates 40, 41 and 42 spatially separate the plasma generation chamber 10 and the plasma processing chamber 20. The mesh plates 40, 41, 42 are, for example, metal plates of the same size, and the planar shape thereof is a disk shape in the case of the plasma processing apparatus of the second embodiment shown in FIG. A plurality of circular openings 43 are formed in the disc-shaped mesh plates 40, 41, 42. In the mesh plates 40, 41, and 42 of the second embodiment, similar to the first embodiment, a large number of openings 43 having a diameter of 0.5 mm (planar shape is circular) are provided on the vertices of an equilateral triangle having a side of 1.0 mm. Has been.

【0053】即ち、プラズマ生成室10における電子密
度をne、電子温度をTeとしたとき、プラズマ生成室1
0に隣接したメッシュプレート40に設けられた開口部
43の径rは、r≦2(kTeε0/ne21/2を満足
している。
That is, when the electron density in the plasma generating chamber 10 is n e and the electron temperature is T e , the plasma generating chamber 1
The diameter r of the opening 43 provided in the mesh plate 40 adjacent to 0 satisfies r ≦ 2 (kT e ε 0 / n e e 2 ) 1/2 .

【0054】尚、メッシュプレート40,41,42の
それぞれにおける開口部43の径は同一でなくともよ
い。例えば、メッシュプレート41に設けられた開口部
43の径を、メッシュプレート40に設けられた開口部
43の径より大きくしてもよい。その理由は、メッシュ
プレートで仕切られた2つの空間の内、プラズマ生成室
10に遠い側の空間におけるプラズマの電子密度は、プ
ラズマ生成室10に近い側の空間におけるプラズマの電
子密度よりも、例えば10-2オーダーで小さくなり、そ
の結果、デバイ長λDの値が大きくなるからである。同
様の理由で、メッシュプレート42に設けられた開口部
43の径を、メッシュプレート41に設けられた開口部
43の径より大きくしてもよい。但し、複数のメッシュ
プレートの内、少なくとも1枚のメッシュプレートにお
いて、かかるメッシュプレートに設けられた開口部の径
の大きさを、かかるメッシュプレートで仕切られた2つ
の空間の内、プラズマ生成室10に近い側の空間におけ
るプラズマのデバイ長の2倍以下とする必要がある。
The diameters of the openings 43 in the mesh plates 40, 41, 42 do not have to be the same. For example, the diameter of the opening 43 provided in the mesh plate 41 may be larger than the diameter of the opening 43 provided in the mesh plate 40. The reason is that, of the two spaces partitioned by the mesh plate, the electron density of plasma in the space far from the plasma generation chamber 10 is higher than the electron density of plasma in the space near the plasma generation chamber 10, for example. This is because the value becomes smaller on the order of 10 −2 , and as a result, the value of the Debye length λ D becomes larger. For the same reason, the diameter of the opening 43 provided in the mesh plate 42 may be made larger than the diameter of the opening 43 provided in the mesh plate 41. However, in at least one mesh plate of the plurality of mesh plates, the size of the diameter of the opening provided in the mesh plate is set to be the plasma generation chamber 10 in the two spaces partitioned by the mesh plate. It is necessary to set the Debye length of the plasma in the space near to 2 times or less.

【0055】実施例2においては、プラズマ生成室10
に導入されたガスは、メッシュプレート40,41,4
2に設けられた開口部43、及び外壁部材12と各メッ
シュプレート40,41,42との間に設けられた狭い
隙間45を通り抜けてプラズマ処理室20に流入する。
このような構造にすることで、プラズマ処理室20内に
ガス拡散器23から導入されたガスが、図3の上方に逆
拡散してプラズマ生成室10まで到達することを困難に
している。
In the second embodiment, the plasma generation chamber 10
The gas introduced into the mesh plate 40, 41, 4
2 and the narrow gap 45 provided between the outer wall member 12 and each mesh plate 40, 41, 42 to flow into the plasma processing chamber 20.
With such a structure, it is difficult for the gas introduced from the gas diffuser 23 into the plasma processing chamber 20 to reversely diffuse upward in FIG. 3 and reach the plasma generation chamber 10.

【0056】(実施例3)実施例3も、実施例1の変形
である。実施例3が実施例1と相違する点は、メッシュ
プレートの数、及びメッシュプレートの構造にある。実
施例3においてはメッシュプレートを2枚とした。実施
例3のプラズマ処理装置の概要を図4に模式的に示す。
(Embodiment 3) Embodiment 3 is also a modification of Embodiment 1. The third embodiment differs from the first embodiment in the number of mesh plates and the structure of the mesh plates. In Example 3, two mesh plates were used. The outline of the plasma processing apparatus of the third embodiment is schematically shown in FIG.

【0057】実施例3のプラズマ処理装置には、2つの
メッシュプレート40A,41Aが配設されている。メ
ッシュプレート40Aの構造は、実施例1にて説明した
メッシュプレート40と同じ構造である。一方、メッシ
ュプレート41Aは、ガス拡散器としての機能も有して
いる。即ち、メッシュプレート41Aは、中空円盤構造
を有し、上板41B及び下板41Cには開口部43が設
けられている。また、メッシュプレート41Aの中空部
41Dにはガス導入部22が接続されており、メッシュ
プレート41Aの中空部41Dに導入されたガスは下板
41Cに設けられた開口部43からプラズマ処理室20
の内部に均一に拡散される。しかも、プラズマ生成室1
0に導入されたガスは、メッシュプレート40A、41
Aに設けられた開口部43を通り抜けてプラズマ処理室
20に流入する。メッシュプレート40A及び41A
は、接地されている。メッシュプレート40A,41A
には、0.5mm径の開口部43(平面形状は円形)
が、一辺1.0mmの正三角形の頂点上に多数設けられ
ている。開口部43の径は、プラズマ生成室10で生成
したプラズマのデバイ長λDの2倍以下である。
Two mesh plates 40A and 41A are arranged in the plasma processing apparatus of the third embodiment. The mesh plate 40A has the same structure as the mesh plate 40 described in the first embodiment. On the other hand, the mesh plate 41A also has a function as a gas diffuser. That is, the mesh plate 41A has a hollow disc structure, and the upper plate 41B and the lower plate 41C are provided with the openings 43. Further, the gas introduction part 22 is connected to the hollow part 41D of the mesh plate 41A, and the gas introduced into the hollow part 41D of the mesh plate 41A is supplied from the opening 43 provided in the lower plate 41C to the plasma processing chamber 20.
It is evenly dispersed inside. Moreover, the plasma generation chamber 1
The gas introduced to 0 is mesh plates 40A, 41
It passes through the opening 43 provided in A and flows into the plasma processing chamber 20. Mesh plates 40A and 41A
Is grounded. Mesh plate 40A, 41A
Has an opening 43 with a diameter of 0.5 mm (planar shape is circular)
Are provided on the vertices of an equilateral triangle having a side of 1.0 mm. The diameter of the opening 43 is not more than twice the Debye length λ D of the plasma generated in the plasma generation chamber 10.

【0058】(実施例4)実施例4は、本発明の第2の
態様に係るプラズマ処理装置に関する。実施例4におけ
るプラズマ処理装置は、平行平板型のプラズマCVD装
置である。その概略の構造を図5に示す。。実施例4の
プラズマ処理装置が実施例1と相違する点は、メッシュ
プレート40に電圧(V0)を印加するために可変直流
電源46を備えている点にある。その他の構成は実施例
1にて説明したプラズマ処理装置と同じであり、詳細な
説明は省略する。尚、メッシュプレート40に印加する
電圧V0を、0ボルト<V0≦30ボルトの範囲で制御す
る。メッシュプレート40に設けられた開口部43の径
は、プラズマ生成室10で生成したプラズマのデバイ長
λDの2倍を越えてもよいが、デバイ長の2倍以下とす
ることが好ましい。
Example 4 Example 4 relates to the plasma processing apparatus according to the second aspect of the present invention. The plasma processing apparatus in Example 4 is a parallel plate type plasma CVD apparatus. Its schematic structure is shown in FIG. . The plasma processing apparatus of the fourth embodiment is different from that of the first embodiment in that a variable DC power supply 46 is provided to apply a voltage (V 0 ) to the mesh plate 40. Other configurations are the same as those of the plasma processing apparatus described in the first embodiment, and detailed description will be omitted. The voltage V 0 applied to the mesh plate 40 is controlled within the range of 0 volt <V 0 ≦ 30 volt. The diameter of the opening 43 provided in the mesh plate 40 may exceed twice the Debye length λ D of the plasma generated in the plasma generation chamber 10, but is preferably twice the Debye length or less.

【0059】一例として、アルゴンガスを用いたリモー
トプラズマ法において、実施例4のプラズマ処理装置の
メッシュプレート40直下におけるプラズマ空間電位
(プラズマポテンシャル)と、メッシュプレート40に
印加した電圧(V0)との関係を図6の(A)に示す。
電力導入端子13を経て上部電極14に供給されたRF
電力(13.56MHz)を10W、アルゴンガス流量
を30sccm、アルゴンガス圧力を40Pa(300
ミリトル)とした。図6の(A)から、プラズマ空間電
位(プラズマポテンシャル)は、メッシュプレートに印
加される電圧(V0)の変化に対して、ほぼ直線的に変
化していることが判る。尚、供給RF電力を5W〜20
Wまで変化させたが同様の傾向が認められた。
As an example, in the remote plasma method using argon gas, the plasma space potential (plasma potential) immediately below the mesh plate 40 of the plasma processing apparatus of Example 4 and the voltage (V 0 ) applied to the mesh plate 40. The relationship is shown in FIG.
RF supplied to the upper electrode 14 via the power introduction terminal 13
Electric power (13.56 MHz) 10 W, argon gas flow rate 30 sccm, argon gas pressure 40 Pa (300
My Little). It can be seen from FIG. 6A that the plasma space potential (plasma potential) changes substantially linearly with respect to the change in the voltage (V 0 ) applied to the mesh plate. The supplied RF power is 5 W to 20
A similar tendency was observed although the value was changed to W.

【0060】また、メッシュプレート40直下の電子密
度と、電力導入端子13を経て上部電極14に供給され
たRF電力(13.56MHz)の関係を図6の(B)
に示す。アルゴンガス流量を30sccm、アルゴンガ
ス圧力を67Pa(500ミリトル)とした。図6の
(B)から、電子密度は供給RF電力に比例して増加し
ていることが判る。尚、メッシュプレートに印加される
電圧を−20ボルトから+20ボルトまで変化させたが
同様の傾向が認められた。
FIG. 6B shows the relationship between the electron density immediately below the mesh plate 40 and the RF power (13.56 MHz) supplied to the upper electrode 14 via the power introduction terminal 13.
Shown in The argon gas flow rate was 30 sccm, and the argon gas pressure was 67 Pa (500 mtorr). From FIG. 6B, it can be seen that the electron density increases in proportion to the supplied RF power. Although the voltage applied to the mesh plate was changed from -20 V to +20 V, the same tendency was observed.

【0061】(実施例5)実施例5は、実施例4で説明
したプラズマ処理装置の変形である。図7に示す実施例
5のプラズマ処理装置には、実施例4のプラズマ処理装
置と異なり、2つのメッシュプレート40A,41Aが
配設されている。メッシュプレート40Aの構造は、実
施例4にて説明したメッシュプレート40と同じ構造で
ある。一方、メッシュプレート41Aは、実施例3で説
明したメッシュプレート41Aと同じ構造を有する。メ
ッシュプレート40A及び41Aには、可変直流電源4
6A,47Aから直流が供給される。
(Embodiment 5) Embodiment 5 is a modification of the plasma processing apparatus described in Embodiment 4. Unlike the plasma processing apparatus of the fourth embodiment, the plasma processing apparatus of the fifth embodiment shown in FIG. 7 is provided with two mesh plates 40A and 41A. The structure of the mesh plate 40A is the same as that of the mesh plate 40 described in the fourth embodiment. On the other hand, the mesh plate 41A has the same structure as the mesh plate 41A described in the third embodiment. The mesh plate 40A and 41A has a variable DC power source 4
Direct current is supplied from 6A and 47A.

【0062】(実施例6)実施例6は、プラズマ処理室
に配置された基体上に薄膜を成膜するプラズマ処理方法
に関する。実施例6においては、薄膜はSiO2膜から
成るゲート絶縁膜とした。また、基体は、単結晶半導体
材料、具体的にはシリコン半導体基板から成る。
Example 6 Example 6 relates to a plasma processing method for forming a thin film on a substrate arranged in a plasma processing chamber. In Example 6, the thin film was a gate insulating film made of a SiO 2 film. The base body is made of a single crystal semiconductor material, specifically, a silicon semiconductor substrate.

【0063】実施例6においては、本発明の第1の態様
に係るプラズマ処理装置を用いた。即ち、実施例6にお
いては、プラズマ生成室10とプラズマ処理室20の間
に、メッシュプレート40A,41Aが配設された実施
例3にて説明した構造を有する平行平板型のプラズマC
VD装置から成るプラズマ処理装置を用いた。メッシュ
プレート40A,41Aに設けられた開口部43の径
は、プラズマ生成室10で生成したプラズマのデバイ長
λDの2倍以下である。尚、メッシュプレート40A,
41Aは接地されている。
In Example 6, the plasma processing apparatus according to the first aspect of the present invention was used. That is, in the sixth embodiment, the parallel plate type plasma C having the structure described in the third embodiment in which the mesh plates 40A and 41A are arranged between the plasma generation chamber 10 and the plasma processing chamber 20.
A plasma processing apparatus consisting of a VD apparatus was used. The diameter of the opening 43 provided in the mesh plates 40A and 41A is not more than twice the Debye length λ D of the plasma generated in the plasma generation chamber 10. Incidentally, the mesh plate 40A,
41A is grounded.

【0064】これによって、プラズマ生成室10で発生
したプラズマ中の電子あるいは正負イオンの荷電粒子が
メッシュプレート40A、41Aを介してプラズマ処理
室20に漏洩することを効果的に抑制することができ
る。その結果、被処理物載置部24に載置された基体で
あるシリコン半導体基板に、中性ラジカル、即ち、電気
的に中性の励起原子種若しくは励起分子種が主に照射さ
れる。従って、基体(例えばシリコン半導体基板)の表
面あるいはその上に形成される絶縁膜(例えばSiO2
から成るゲート絶縁膜)が荷電粒子によって損傷を受け
ることを効果的に防止できる。尚、プラズマ処理装置
は、実施例3にて説明したプラズマ処理装置に限定され
るものではない。
As a result, it is possible to effectively prevent the charged particles of electrons or positive and negative ions in the plasma generated in the plasma generation chamber 10 from leaking to the plasma processing chamber 20 via the mesh plates 40A and 41A. As a result, the silicon semiconductor substrate, which is the base placed on the object placing portion 24, is mainly irradiated with neutral radicals, that is, electrically neutral excited atomic species or excited molecular species. Therefore, an insulating film (for example, SiO 2 ) formed on the surface of the base (for example, a silicon semiconductor substrate) or on the surface thereof
It is possible to effectively prevent the gate insulating film made of (3) from being damaged by charged particles. The plasma processing apparatus is not limited to the plasma processing apparatus described in the third embodiment.

【0065】図2及び図6の(B)に示したように、供
給RF電力が増加するに伴い、プラズマ中の電子密度が
増加するので、シリコン半導体基板から成る基体へのプ
ラズマ損傷の発生を抑制するためには、供給RF電力
を、放電を維持できる範囲において出来る限り低くする
ことが望ましい。尚、以下の各実施例においても同様で
ある。
As shown in FIGS. 2 and 6B, the electron density in the plasma increases as the supplied RF power increases, so that plasma damage to the substrate made of the silicon semiconductor substrate is prevented. In order to suppress it, it is desirable to make the supplied RF power as low as possible within the range where the discharge can be maintained. The same applies to the following examples.

【0066】このようなプラズマ処理装置を用いて、ゲ
ート絶縁膜を基体であるシリコン半導体基板上に形成す
る。実施例6においては、具体的には、基体として、ボ
ロンBが1015atoms/cm3ドープされたp型のシリコ
ン単結晶基板を用いた。そして、その一主面上に、基板
温度を270゜Cとして、図4に示した平行平板型のプ
ラズマCVD装置から成るプラズマ処理装置を用いて、
SiO2から成り厚さ100nmのゲート絶縁膜を成膜
した。そのために、ガス導入部15から酸素O2ガス及
びHeガスをプラズマ生成室10に供給し、一方、ガス
導入部22からモノシランSiH4ガス及びHeガスを
プラズマ処理室20に供給した。
Using such a plasma processing apparatus, a gate insulating film is formed on a silicon semiconductor substrate which is a base. In Example 6, specifically, a p-type silicon single crystal substrate doped with 10 15 atoms / cm 3 of boron B was used as the base. Then, on the one main surface, with the substrate temperature set to 270 ° C., the plasma processing apparatus including the parallel plate type plasma CVD apparatus shown in FIG.
A gate insulating film made of SiO 2 and having a thickness of 100 nm was formed. Therefore, oxygen O 2 gas and He gas were supplied to the plasma generation chamber 10 from the gas introduction unit 15, while monosilane SiH 4 gas and He gas were supplied to the plasma treatment chamber 20 from the gas introduction unit 22.

【0067】次いで、ゲート絶縁膜の上にアルミニウム
の蒸着膜から成るゲート電極を形成して、MISキャパ
シタ(MISダイオード)を作製した。そして、その電
気容量−電圧(C−V)特性を測定した。測定結果を図
8の(A)に示す。
Next, a gate electrode made of a vapor deposited film of aluminum was formed on the gate insulating film to manufacture a MIS capacitor (MIS diode). And the electric capacity-voltage (CV) characteristic was measured. The measurement result is shown in FIG.

【0068】また、プラズマ損傷の発生低減を、半導体
装置の特性面から確認するために、比較例1として、直
径1.0mmの円形形状の開口部が一辺2.0mmの正
三角形の頂点に多数配置されているメッシュプレートを
用いて、実施例6と同様の方法・条件でMISキャパシ
タ(MISダイオード)を作製した。そして、その電気
容量−電圧(C−V)特性を測定した。比較例1の測定
結果を図8の(B)に示す。尚、開口部の径が1.0m
mの場合、かかる開口部の径は、プラズマ生成室10で
生成したプラズマのデバイ長λDの2倍を越えている。
Further, in order to confirm the reduction of plasma damage from the viewpoint of the characteristics of the semiconductor device, as Comparative Example 1, a large number of circular openings having a diameter of 1.0 mm are provided at the vertices of an equilateral triangle having a side of 2.0 mm. Using the arranged mesh plates, a MIS capacitor (MIS diode) was manufactured under the same method and conditions as in Example 6. And the electric capacity-voltage (CV) characteristic was measured. The measurement result of Comparative Example 1 is shown in FIG. The diameter of the opening is 1.0m
In the case of m, the diameter of the opening exceeds twice the Debye length λ D of the plasma generated in the plasma generation chamber 10.

【0069】図8から明らかなように、実施例6(開口
部の径が0.5mm)の場合、フラットバンド電圧は−
0.89Vであり、比較例1(開口部の径が1.0m
m)の場合、フラットバンド電圧は−2.16Vであっ
た。即ち、比較例1の場合と比較して、実施例6の場合
の方がフラットバンド電圧のシフトが少ない。このこと
は、プラズマ損傷によって生成されるゲート絶縁膜中の
正電荷は、実施例6の場合の方が少ないことを意味して
いる。また、ミッドギャップにおける界面準位密度は、
実施例6及び比較例1の場合、それぞれ、2.3×10
10cm-2eV-1及び7.1×1011cm-2eV-1であっ
た。実施例6の場合、界面準位密度が小さいことから、
ゲート絶縁膜に対するプラズマ損傷が少ないことが判
る。
As is clear from FIG. 8, in the case of Example 6 (the diameter of the opening is 0.5 mm), the flat band voltage is-.
0.89V, Comparative Example 1 (opening diameter 1.0m
In the case of m), the flat band voltage was -2.16V. That is, the flat band voltage shift is smaller in the case of Example 6 than in the case of Comparative Example 1. This means that the positive charge in the gate insulating film generated by plasma damage is smaller in the case of the sixth embodiment. The interface state density in the midgap is
In the case of Example 6 and Comparative Example 1, 2.3 x 10
It was 10 cm −2 eV −1 and 7.1 × 10 11 cm −2 eV −1 . In the case of Example 6, since the interface state density is small,
It can be seen that plasma damage to the gate insulating film is small.

【0070】メッシュプレートに設けられた開口部の径
をデバイ長の2倍以下にすることによるプラズマ遮蔽効
果は、上述のMISキャパシタ(MISダイオード)の
みに当てはまるものではなく、TFTを始めとするMI
Sトランジスタ作製に適用される効果であることはいう
までもない。
The plasma shielding effect obtained by making the diameter of the opening provided in the mesh plate less than twice the Debye length does not apply only to the above-mentioned MIS capacitor (MIS diode), but also to MI such as TFT.
It goes without saying that this is an effect applied to the fabrication of S-transistors.

【0071】(実施例7)実施例7も、プラズマ処理室
に配置された基体上に薄膜を成膜するプラズマ処理方法
に関する。更に、実施例7は、本発明の第1の態様に係
る半導体装置の作製方法に関する。即ち、実施例7は、
半導体装置が絶縁ゲート型電界効果トランジスタ(具体
的にはTFT)であり、プラズマ処理室に配置された基
体上に絶縁膜を成膜する半導体装置の作製方法に関す
る。実施例7においては、絶縁膜はSiO2膜から成る
ゲート絶縁膜とした。また、基体は、レーザでの加熱に
よって多結晶化された多結晶シリコン層から成る。
(Embodiment 7) Embodiment 7 also relates to a plasma processing method for forming a thin film on a substrate arranged in a plasma processing chamber. Furthermore, Example 7 relates to a method for manufacturing a semiconductor device according to the first aspect of the present invention. That is, Example 7 is
The present invention relates to a method for manufacturing a semiconductor device, in which the semiconductor device is an insulated gate field effect transistor (specifically, TFT), and an insulating film is formed on a substrate arranged in a plasma processing chamber. In Example 7, the insulating film was a gate insulating film made of a SiO 2 film. Further, the substrate is composed of a polycrystalline silicon layer which is polycrystallized by heating with a laser.

【0072】実施例7においても、本発明の第1の態様
に係るプラズマ処理装置を用いた。即ち、実施例3で説
明した構造を有する平行平板型のプラズマCVD装置か
ら成るプラズマ処理装置を用いたが、かかるプラズマ処
理装置に限定されるものではない。以下、図9及び図1
0の工程図を参照して、実施例7のプラズマ処理方法あ
るいは半導体装置の作製方法を説明する。尚、実施例7
においては、メッシュプレート40A,41Aに電圧を
印加していない。また、メッシュプレート40A,41
Aには、0.5mm径の開口部43(平面形状は円形)
が、一辺1.0mmの正三角形の頂点上に多数設けられ
ている。
In Example 7 as well, the plasma processing apparatus according to the first aspect of the present invention was used. That is, although the plasma processing apparatus including the parallel plate type plasma CVD apparatus having the structure described in the third embodiment is used, the plasma processing apparatus is not limited to such a plasma processing apparatus. Hereinafter, FIG. 9 and FIG.
A plasma processing method or a semiconductor device manufacturing method according to the seventh embodiment will be described with reference to process charts of No. 0. Example 7
In, no voltage is applied to the mesh plates 40A and 41A. In addition, the mesh plates 40A, 41
A has an opening 43 with a diameter of 0.5 mm (planar shape is circular).
Are provided on the vertices of an equilateral triangle having a side of 1.0 mm.

【0073】[工程−700]先ず、ガラス基板100
上にB(ボロン)ドープの水素含有アモルファスシリコ
ン(a−Si:H,B)若しくはP(りん)ドープの水
素含有のアモルファスシリコン(a−Si:H,P)か
ら成る第1の半導体層101をプラズマCVD法によっ
て成膜した。次に、フォトリソグラフィ技術及びエッチ
ング技術によって第1の半導体層101をパターニング
して、ソース・ドレイン領域形成予定領域上に第1の半
導体層101を残した(図9の(A)参照)。
[Step-700] First, the glass substrate 100.
A first semiconductor layer 101 made of B (boron) -doped hydrogen-containing amorphous silicon (a-Si: H, B) or P (phosphorus) -doped hydrogen-containing amorphous silicon (a-Si: H, P). Was formed by the plasma CVD method. Next, the first semiconductor layer 101 was patterned by the photolithography technique and the etching technique, and the first semiconductor layer 101 was left on the regions where the source / drain regions were to be formed (see FIG. 9A).

【0074】[工程−710]その後、第1の半導体層
101の上及び露出したガラス基板100の上に、例え
ばノンドープの水素含有のアモルファスシリコン(a−
Si:H)から成る第2の半導体層102をCVD法で
成膜する(図9の(B)参照)。
[Step-710] Then, for example, non-doped hydrogen-containing amorphous silicon (a-) is formed on the first semiconductor layer 101 and the exposed glass substrate 100.
A second semiconductor layer 102 made of Si: H) is formed by a CVD method (see FIG. 9B).

【0075】[工程−720]そして、第2の半導体層
102にエキシマレーザ光を照射するエキシマレーザア
ニール法によって、第2の半導体層102を多結晶化す
る。これと同時に、第1の半導体層101から第2の半
導体層102へ不純物が熱拡散し、第1の半導体層10
1とその上の第2の半導体層102によってソース・ド
レイン領域103が形成される。尚、ソース・ドレイン
領域の間の第2の半導体層102はチャネル形成領域1
04に相当する(図9の(C)参照)。第2の半導体層
102は、レーザでの加熱によって多結晶化された多結
晶シリコン層となり、基体に相当する。
[Step-720] Then, the second semiconductor layer 102 is polycrystallized by an excimer laser annealing method of irradiating the second semiconductor layer 102 with excimer laser light. At the same time, impurities are thermally diffused from the first semiconductor layer 101 to the second semiconductor layer 102, and the first semiconductor layer 10
A source / drain region 103 is formed by 1 and the second semiconductor layer 102 formed thereon. The second semiconductor layer 102 between the source / drain regions is the channel formation region 1
04 (see (C) of FIG. 9). The second semiconductor layer 102 becomes a polycrystalline silicon layer which is polycrystallized by heating with a laser and corresponds to a base.

【0076】[工程−730]次に、図4に示したプラ
ズマ処理室20内の被処理物載置部24に基体を載置し
(具体的にはガラス基板100を載置し)、SiO2
ら成るゲート絶縁膜105を基体上に成膜する。チャネ
ル形成領域104上のSiO2から成るゲート絶縁膜1
05の厚さを0.2μmとした(図10の(A)参
照)。
[Step-730] Next, the substrate is placed on the object placing portion 24 in the plasma processing chamber 20 shown in FIG. 4 (specifically, the glass substrate 100 is placed), and SiO 2 is deposited. A gate insulating film 105 composed of 2 is formed on the substrate. Gate insulating film 1 made of SiO 2 on the channel formation region 104
The thickness of No. 05 was 0.2 μm (see FIG. 10A).

【0077】[工程−740]その後、ソース・ドレイ
ン領域103の上方のゲート絶縁膜105に対して、フ
ォトリソグラフィ技術及びエッチング技術によって開口
部を形成し、次いで、開口部内を含むゲート絶縁膜10
5上に、例えばアルミニウム系合金から成る金属配線材
料を例えばスパッタ法や真空蒸着法にて成膜し、かかる
金属配線材料をフォトリソグラフィ技術及びエッチング
技術によってパターニングする。併せて、チャネル形成
領域104の上方の金属配線材料をパターニングする。
これによって、ソース・ドレイン電極106及びゲート
電極107を形成することができる(図10の(B)参
照)。こうしてTFTを完成させた。
[Step-740] After that, an opening is formed in the gate insulating film 105 above the source / drain regions 103 by a photolithography technique and an etching technique, and then the gate insulating film 10 including the inside of the opening is formed.
A metal wiring material made of, for example, an aluminum alloy is formed on the film 5 by, for example, a sputtering method or a vacuum evaporation method, and the metal wiring material is patterned by a photolithography technique and an etching technique. At the same time, the metal wiring material above the channel formation region 104 is patterned.
Thus, the source / drain electrode 106 and the gate electrode 107 can be formed (see FIG. 10B). Thus, the TFT was completed.

【0078】ゲート幅(W)=10μm、ゲート長
(L)=10μmのpチャネル型TFTの、ドレイン電
圧(VD)=−1Vにおけるドレイン電流(ID)−ゲー
ト電圧(VG)特性を評価した。その結果を図11の
(A)に示す。
[0078] The gate width (W) = 10μm, the p-channel type TFT having a gate length (L) = 10μm, the drain voltage (V D) = - drain current at 1V (I D) - the gate voltage (V G) characteristics evaluated. The result is shown in FIG.

【0079】また、比較例2として、直径1.0mmの
円形形状の開口部が一辺2.0mmの正三角形の頂点に
多数配置されているメッシュプレートを用いて、実施例
7と同様の同様の方法・条件でpチャネル型TFTを作
製した。そして、そのドレイン電流(ID)−ゲート電
圧(VG)特性を評価した。比較例2の測定結果を図1
1の(B)に示す。尚、実施例7(開口部の径が0.5
mm)の場合、かかる開口部の径は、プラズマ生成室1
0で生成したプラズマのデバイ長λDの2倍以下であ
る。一方、比較例2(開口部の径が1.0mm)の場
合、かかる開口部の径はデバイ長の2倍を越えている。
Further, as Comparative Example 2, a mesh plate in which a large number of circular openings having a diameter of 1.0 mm are arranged at the vertices of an equilateral triangle having a side of 2.0 mm is used, and the same procedure as in Example 7 is performed. A p-channel TFT was manufactured according to the method and conditions. Then, the drain current (I D) - was assessed gate voltage (V G) characteristics. The measurement results of Comparative Example 2 are shown in FIG.
1 (B). Example 7 (the diameter of the opening is 0.5
mm), the diameter of the opening is 1 mm
It is less than twice the Debye length λ D of the plasma generated at 0. On the other hand, in Comparative Example 2 (the diameter of the opening is 1.0 mm), the diameter of the opening exceeds twice the Debye length.

【0080】図11から明らかなように、実施例7にお
いては、オフ電圧、即ちフラットバンド電圧のマイナス
方向のシフトが−0.9Vであるのに対して、比較例2
においては、フラットバンド電圧のマイナス方向のシフ
トが−2.0Vとなった。即ち、開口部の径がデバイ長
の2倍を越えている場合の方が、フラットバンド電圧の
マイナス方向のシフトが大きい。
As is clear from FIG. 11, in Example 7, the off-voltage, that is, the shift of the flat band voltage in the negative direction was −0.9 V, while Comparative Example 2
In, the negative shift of the flat band voltage was −2.0V. That is, when the diameter of the opening exceeds twice the Debye length, the negative shift of the flat band voltage is larger.

【0081】また、サブスレッショールドスイング値
(サブスレッショールド係数)は、実施例7の場合、
0.125V/decadeであるのに対し、比較例2の場
合、0.23V/decadeとなっている。即ち、開口部の
径がデバイ長の2倍以下である方が、トランジスタの立
ち上がり特性が優れていることを示している。
In the case of the seventh embodiment, the subthreshold swing value (subthreshold coefficient) is
While the voltage is 0.125 V / decade, the voltage of Comparative Example 2 is 0.23 V / decade. That is, it is shown that the rising characteristics of the transistor are better when the diameter of the opening is not more than twice the Debye length.

【0082】更に、オン電流についても、実施例7の場
合、19.23μA(VG=−5V)であるのに対し、
比較例2の場合4.76μA(VG=−7Vとした)と
なっており、開口部の径がデバイ長の2倍以下の方がオ
ン電流が大きい。
[0082] Further, the on-current is also the case of Example 7, whereas a 19.23μA (V G = -5V),
In the case of Comparative Example 2, it is 4.76 μA (V G = −7 V), and the ON current is larger when the diameter of the opening is twice the Debye length or less.

【0083】電界効果移動度は、実施例7及び比較例2
のそれぞれにおいて、400cm2/Vs及び88cm2
/Vsであり、これらの結果からも、開口部の径がデバ
イ長の2倍以下である方が、プラズマ損傷の発生が少な
く、ゲート絶縁膜/基体の界面特性が優れていることが
判る。
The field effect mobility is shown in Example 7 and Comparative Example 2.
In each of 400 cm 2 / Vs and 88 cm 2
From these results, it can be seen from these results that when the diameter of the opening is twice the Debye length or less, plasma damage is less likely to occur and the gate insulating film / substrate interface characteristics are excellent.

【0084】また、TFTに適用される本発明のプラズ
マ処理方法あるいは半導体装置の作製方法は、上述の作
製工程、又は上述の素子構造にのみ適用されるものでは
ない。
Further, the plasma processing method or semiconductor device manufacturing method of the present invention applied to a TFT is not applied only to the above manufacturing steps or the above element structure.

【0085】(実施例8)実施例8も、プラズマ処理室
に配置された基体上に薄膜を成膜するプラズマ処理方法
に関する。更に、実施例8は、本発明の第2の態様に係
る半導体装置の作製方法に関する。即ち、実施例8は、
半導体装置が太陽電池であり、プラズマ処理室に配置さ
れた基体上に保護用絶縁膜を成膜する半導体装置の作製
方法に関する。基体は、例えばガラス基板上に形成され
た、単結晶半導体材料層、アモルファス半導体材料層又
は多結晶半導体材料層から構成すればよい。保護用絶縁
膜は、SiO2膜、SiN膜、SiON膜、あるいはこ
れらの膜の積層構造から構成することができる。
(Embodiment 8) Embodiment 8 also relates to a plasma processing method for forming a thin film on a substrate arranged in a plasma processing chamber. Furthermore, Example 8 relates to a method for manufacturing a semiconductor device according to the second aspect of the present invention. That is, Example 8 is
The present invention relates to a method for manufacturing a semiconductor device in which the semiconductor device is a solar cell, and a protective insulating film is formed on a substrate arranged in a plasma processing chamber. The base body may be formed of, for example, a single crystal semiconductor material layer, an amorphous semiconductor material layer, or a polycrystalline semiconductor material layer formed on a glass substrate. The protective insulating film can be composed of a SiO 2 film, a SiN film, a SiON film, or a laminated structure of these films.

【0086】実施例8においても、本発明の第1の態様
に係るプラズマ処理装置を用いた。即ち、実施例3で説
明した構造を有する平行平板型のプラズマCVD装置か
ら成るプラズマ処理装置を用いたが、かかるプラズマ処
理装置に限定されるものではない。尚、実施例8におい
ては、メッシュプレート40A,41Aに電圧を印加し
ていない。また、メッシュプレート40A,41Aに
は、0.5mm径の開口部43(平面形状は円形)が、
一辺1.0mmの正三角形の頂点上に多数設けられてい
る。
Also in Example 8, the plasma processing apparatus according to the first aspect of the present invention was used. That is, although the plasma processing apparatus including the parallel plate type plasma CVD apparatus having the structure described in the third embodiment is used, the plasma processing apparatus is not limited to such a plasma processing apparatus. In the eighth embodiment, no voltage is applied to the mesh plates 40A and 41A. Further, in the mesh plates 40A and 41A, an opening 43 having a diameter of 0.5 mm (planar shape is circular),
Many are provided on the vertices of an equilateral triangle having a side of 1.0 mm.

【0087】図12に、実施例8の半導体装置である太
陽電池の模式的な一部断面図を示す。実施例8において
は、例えばガラス基板200上に下部電極201を形成
した後、この上にn型のアモルファスシリコンから成る
第1の半導体層202、ノンドープ即ち真性のアモルフ
ァスシリコンから成る第2の半導体層203、p型のア
モルファスシリコンから成る第3の半導体層204を、
順次、例えば本発明の第1の態様に係るプラズマ処理方
法に基づいたプラズマCVD法によって成膜する。その
後、第3の半導体層203の上に、例えばITO(In
とSnの複合酸化膜)から成る透明電極205を被着形
成する。その後、各層をパターニングする。
FIG. 12 shows a schematic partial cross-sectional view of a solar cell which is a semiconductor device of Example 8. In the eighth embodiment, for example, after the lower electrode 201 is formed on the glass substrate 200, the first semiconductor layer 202 made of n-type amorphous silicon and the second semiconductor layer made of non-doped or intrinsic amorphous silicon are formed on the lower electrode 201. 203, a third semiconductor layer 204 made of p-type amorphous silicon,
The films are sequentially formed by, for example, a plasma CVD method based on the plasma processing method according to the first aspect of the present invention. Then, on the third semiconductor layer 203, for example, ITO (In
And a transparent oxide film 205 composed of a composite oxide film of Sn) is deposited. Then, each layer is patterned.

【0088】次いで、全面に、本発明の第2の態様に係
る半導体装置の作製方法に基づき、例えばSiO2、S
iNあるいはSiONから成る表面の保護用絶縁膜20
6を形成する。このように、本発明の第2の態様に係る
半導体装置の作製方法を適用することにより、半導体層
に損傷を与えることなく、高エネルギー変換効率の太陽
電池を作製することができる。
Next, based on the method for manufacturing a semiconductor device according to the second embodiment of the present invention, for example, SiO 2 , S is formed on the entire surface.
Surface insulating film 20 made of iN or SiON
6 is formed. As described above, by applying the method for manufacturing a semiconductor device according to the second aspect of the present invention, a solar cell with high energy conversion efficiency can be manufactured without damaging the semiconductor layer.

【0089】(実施例9)実施例9も、プラズマ処理室
に配置された基体上に薄膜を成膜するプラズマ処理方法
に関する。実施例9においては、薄膜はSiO2膜から
成るゲート絶縁膜とした。また、基体は、単結晶半導体
材料、具体的にはシリコン半導体基板から成る。
Example 9 Example 9 also relates to a plasma processing method for forming a thin film on a substrate arranged in a plasma processing chamber. In Example 9, the thin film was a gate insulating film made of a SiO 2 film. The base body is made of a single crystal semiconductor material, specifically, a silicon semiconductor substrate.

【0090】実施例9が実施例6と相違する点は、実施
例9においては、本発明の第2の態様に係るプラズマ処
理装置を用いた点にある。即ち、実施例9においては、
実施例5にて説明した構造を有する平行平板型のプラズ
マCVD装置から成るプラズマ処理装置を用いた。尚、
メッシュプレート40A,41Aには可変直流電源46
A,47Aから直流が供給される。メッシュプレート4
0A,41Aに電圧を印加することによって、メッシュ
プレート40A,41A近傍のプラズマ空間電位と基体
の表面電位との間の電位差を0に近づけ、プラズマ中の
荷電粒子がこれらの間で加速されそして基体あるいは成
膜されつつある薄膜や絶縁膜等に衝突することを効果的
に防ぐ。そして、被処理物載置部24に載置された基体
には、中性ラジカル、即ち、電気的に中性の励起原子種
若しくは励起分子種が主に照射される。従って、基体
(例えばシリコン半導体基板)の表面あるいはその上に
形成される薄膜や絶縁膜(例えばSiO2から成るゲー
ト絶縁膜)が荷電粒子によって損傷を受けることを効果
的に防止できる。尚、プラズマ処理装置は、実施例5に
て説明したプラズマ処理装置に限定されるものではな
い。
The ninth embodiment is different from the sixth embodiment in that the plasma processing apparatus according to the second aspect of the present invention is used. That is, in Example 9,
A plasma processing apparatus including a parallel plate type plasma CVD apparatus having the structure described in Example 5 was used. still,
A variable DC power supply 46 is provided on the mesh plates 40A and 41A.
Direct current is supplied from A and 47A. Mesh plate 4
By applying a voltage to 0A and 41A, the potential difference between the plasma space potential near the mesh plates 40A and 41A and the surface potential of the substrate approaches 0, and the charged particles in the plasma are accelerated between them and the substrate Alternatively, it effectively prevents collision with a thin film or an insulating film that is being formed. Then, the substrate placed on the object placing portion 24 is mainly irradiated with neutral radicals, that is, electrically neutral excited atomic species or excited molecular species. Therefore, it is possible to effectively prevent the surface of the substrate (for example, a silicon semiconductor substrate) or a thin film or an insulating film (for example, a gate insulating film made of SiO 2 ) formed thereon from being damaged by charged particles. The plasma processing apparatus is not limited to the plasma processing apparatus described in the fifth embodiment.

【0091】実施例9においては、実施例6と同様に、
ゲート絶縁膜を基体であるシリコン半導体基板上に形成
する。実施例9においては、具体的には、基体として、
ボロンBが1015atoms/cm3ドープされたp型のシリ
コン単結晶基板を用いた。そして、その一主面上に、基
板温度を270゜Cとして、図7に示した平行平板型の
プラズマCVD装置から成るプラズマ処理装置を用い
て、SiO2から成り厚さ0.1μmのゲート絶縁膜を
成膜した。次いで、ゲート絶縁膜の上にアルミニウムの
蒸着膜から成るゲート電極を形成して、MISキャパシ
タ(MISダイオード)を作製した。
In Example 9, as in Example 6,
A gate insulating film is formed on a silicon semiconductor substrate which is a base. In Example 9, specifically, as the base,
A p-type silicon single crystal substrate doped with 10 15 atoms / cm 3 of boron B was used. Then, on its one major surface, a substrate temperature of 270 ° C, and using the plasma processing apparatus comprising a parallel-plate type plasma CVD apparatus shown in FIG. 7, the gate insulating thickness 0.1μm made of SiO 2 A film was formed. Then, a gate electrode made of a vapor deposited film of aluminum was formed on the gate insulating film to manufacture a MIS capacitor (MIS diode).

【0092】メッシュプレート40A,41Aに印加さ
れる電圧(V0)を変化させて、各種のMISキャパシ
タ(MISダイオード)を作製した。尚、メッシュプレ
ート40A,41Aには同一の電圧(V0)を印加し
た。そして、作製したMISキャパシタ(MISダイオ
ード)の高周波1MHzにおける電気容量−電圧特性
(C−V特性)を測定することにより、ゲート絶縁膜と
ゲート電極の界面の評価を行った。
Various MIS capacitors (MIS diodes) were manufactured by changing the voltage (V 0 ) applied to the mesh plates 40A and 41A. The same voltage (V 0 ) was applied to the mesh plates 40A and 41A. Then, the interface between the gate insulating film and the gate electrode was evaluated by measuring the capacitance-voltage characteristic (CV characteristic) of the produced MIS capacitor (MIS diode) at a high frequency of 1 MHz.

【0093】測定結果を図13に示す。図13の(A)
は、メッシュプレート40A,41Aに印加した電圧
(V0)が+15Vのときのものであり、図13の
(B)はV0が−45Vのときのものである。図13か
ら明らかなように、V0が+15Vの場合、フラットバ
ンド電圧は−0.89Vとなり、V0が−45Vの場
合、フラットバンド電圧は−4.44Vであった。即
ち、V0が+15Vの場合の方が、フラットバンド電圧
シフトが少ない。言い換えれば、プラズマ損傷による、
ゲート絶縁膜中の正電荷の生成が少ない。ゲート絶縁膜
の電荷密度は、V0が+15Vのとき、5×109cm-2
であり、V0が−45Vのとき、1.82×1012cm
-2であった。
The measurement results are shown in FIG. FIG. 13 (A)
Is when the voltage (V 0 ) applied to the mesh plates 40A and 41A is + 15V, and FIG. 13B is when V 0 is -45V. As is clear from FIG. 13, when V 0 is +15 V, the flat band voltage is −0.89 V, and when V 0 is −45 V, the flat band voltage is −4.44 V. That is, the flat band voltage shift is smaller when V 0 is + 15V. In other words, due to plasma damage,
Generation of positive charges in the gate insulating film is small. The charge density of the gate insulating film is 5 × 10 9 cm -2 when V 0 is + 15V.
And when V 0 is −45 V, 1.82 × 10 12 cm
It was -2 .

【0094】一方、ミッドギャップの界面準位密度は、
0が−45Vのとき、1.2×1012cm-2eV-1、V
0が+15Vのとき、4.3×1010cm-2eV-1であ
った。これより、メッシュプレートに+15Vの電圧V
0を印加することによって、界面準位密度低減の効果が
得られる。言い換えれば、例えばトランジスタにおいて
は、サブスレッショールドスイング値(サブスレッショ
ールド係数)が小さく、トランジスタの立ち上がり特性
が優れている。
On the other hand, the interface state density of the midgap is
When V 0 is -45V, 1.2 × 10 12 cm -2 eV -1 , V
When 0 was + 15V, it was 4.3 × 10 10 cm -2 eV -1 . From this, + 15V voltage V on the mesh plate
By applying 0 , the effect of reducing the interface state density can be obtained. In other words, for example, in a transistor, the subthreshold swing value (subthreshold coefficient) is small and the rising characteristics of the transistor are excellent.

【0095】図14に、ゲート絶縁膜の電荷密度と、メ
ッシュプレートに印加した電圧V0の関係を示す。ここ
では、メッシュプレート40A,41Aに等しい電圧V
0を印加した。図14から明らかなように、V0が+15
Vにおいてゲート絶縁膜の電荷密度に最小点がある。こ
の条件下で、メッシュプレート40A,41A近傍のプ
ラズマ空間電位と基体の表面電位との間の電位差が略0
(即ち、略同一)となり、その結果、ゲート絶縁膜のプ
ラズマ損傷の発生が抑制され、ゲート絶縁膜の電荷密度
が低く抑えられていると考えられる。メッシュプレート
40A,41A近傍のプラズマ空間電位と基体の表面電
位との間の電位差が0から離れるに従い、ゲート絶縁膜
の電荷密度の値は高くなり、またそのばらつきも大きく
なる。図14から、メッシュプレートに印加する電圧V
0は、0ボルト<V0≦30ボルト、好ましくは10ボル
ト≦V0≦30ボルト、より好ましくは10ボルト≦V0
≦20ボルトであることが判る。一般的には、ゲート絶
縁膜の電荷密度を評価すれば、かかる電荷によって基体
表面から外側に向かって形成される電場の強度を求める
ことができる。これによって、メッシュプレートと基体
との間の距離に応じたこの間の電位差を求めることがで
きる。従って、メッシュプレートに印加すべき電圧は、
かかる電位差を打ち消すことができるような電圧とすれ
ばよい。
FIG. 14 shows the relationship between the charge density of the gate insulating film and the voltage V 0 applied to the mesh plate. Here, the voltage V equal to that of the mesh plates 40A and 41A
0 was applied. As is clear from FIG. 14, V 0 is +15.
At V, there is a minimum point in the charge density of the gate insulating film. Under this condition, the potential difference between the plasma space potential near the mesh plates 40A and 41A and the surface potential of the substrate is substantially zero.
(That is, substantially the same), and as a result, the occurrence of plasma damage to the gate insulating film is suppressed, and the charge density of the gate insulating film is considered to be suppressed low. As the potential difference between the plasma space potential in the vicinity of the mesh plates 40A and 41A and the surface potential of the substrate deviates from 0, the value of the charge density of the gate insulating film increases and its variation also increases. From FIG. 14, the voltage V applied to the mesh plate
0 is 0 Volt <V 0 ≦ 30 Volt, preferably 10 Volt ≦ V 0 ≦ 30 Volt, more preferably 10 Volt ≦ V 0
It can be seen that ≤20 volts. In general, if the charge density of the gate insulating film is evaluated, the strength of the electric field formed by the charges from the surface of the substrate toward the outside can be obtained. This makes it possible to determine the potential difference between the mesh plate and the base body according to the distance between them. Therefore, the voltage to be applied to the mesh plate is
The voltage may be set so as to cancel out the potential difference.

【0096】尚、メッシュプレート40A,41Aに異
なる電圧を印加してもよいが、それぞれに印加する電圧
の差が大きくなると、メッシュプレート40Aとメッシ
ュプレート41Aとの間で荷電粒子が大きく加速される
虞があるので、メッシュプレート40A,41Aに印加
する電圧は出来る限り同じ電圧であることが好ましい。
Although different voltages may be applied to the mesh plates 40A and 41A, when the difference between the voltages applied to the mesh plates 40A and 41A becomes large, the charged particles are greatly accelerated between the mesh plates 40A and 41A. Therefore, it is preferable that the voltages applied to the mesh plates 40A and 41A be the same as much as possible.

【0097】このメッシュプレートへの印加電圧V0
制御によるプラズマ損傷発生の低減は、上述のMISキ
ャパシタ(MISダイオード)のみに当てはまるもので
はなく、TFTを始めとするMISトランジスタ作製に
適用される効果であることはいうまでもない。例えば、
本発明の第2の態様に係るプラズマ処理装置を用いる点
を除き、実施例7と同様の工程でTFTを作製すること
ができ、あるいは又、実施例8と同様の工程で太陽電池
を作製することができる。
The reduction of the occurrence of plasma damage by controlling the voltage V 0 applied to the mesh plate does not apply only to the above-mentioned MIS capacitor (MIS diode), and the effect applied to the production of MIS transistors including TFTs. Needless to say. For example,
A TFT can be manufactured in the same process as in Example 7 except that the plasma processing apparatus according to the second aspect of the present invention is used, or a solar cell is manufactured in the same process as in Example 8. be able to.

【0098】以上、本発明を好ましい実施例に基づき説
明したが、本発明はこの実施例に限定されるものではな
い。プラズマの放電の方式は、DC、RF、VHF、マ
イクロ波、ECR(電子サイクロトロン)プラズマ、ヘ
リコン波のいずれの方式であってもよい。
Although the present invention has been described based on the preferred embodiment, the present invention is not limited to this embodiment. The plasma discharge method may be any of DC, RF, VHF, microwave, ECR (electron cyclotron) plasma, and helicon wave.

【0099】実施例においては、プラズマ処理装置とし
て、専ら、膜形成を目的としたリモートプラズマCVD
装置を説明し、プラズマ処理方法として、プラズマ処理
室に配置された基体上に薄膜をプラズマCVD法で成膜
する方法を説明した。しかしながら、プラズマ処理方法
として、RF又はDCプラズマを用いたスパッタ法を挙
げることができ、プラズマ処理装置として、かかるスパ
ッタ法に適した、二極スパッタ装置、多極スパッタ装
置、マグネトロンスパッタ装置等から成るDCスパッタ
装置若しくはRFスパッタ装置を挙げることができる。
この場合においては、ターゲットと基体との間に本発明
の特徴であるメッシュプレートを配設することにより、
基体におけるプラズマ損傷の発生を抑制することができ
る。
In the examples, as a plasma processing apparatus, a remote plasma CVD exclusively for film formation is used.
The apparatus has been described, and as the plasma processing method, the method of forming a thin film on the substrate arranged in the plasma processing chamber by the plasma CVD method has been described. However, the plasma processing method can be a sputtering method using RF or DC plasma, and the plasma processing apparatus includes a bipolar sputtering apparatus, a multipolar sputtering apparatus, a magnetron sputtering apparatus, etc. suitable for the sputtering method. A DC sputtering device or an RF sputtering device can be mentioned.
In this case, by disposing the mesh plate, which is a feature of the present invention, between the target and the substrate,
Generation of plasma damage on the substrate can be suppressed.

【0100】また、半導体装置を作製するためのエッチ
ング工程において、エッチャントが中性ラジカルである
ような場合ならば、ラジカルビームエッチング装置から
成る本発明のプラズマ処理装置を用いることにより、荷
電粒子(イオン又は電子)による基体への損傷発生を抑
制しながらドライエッチングを行うことができる。この
場合、エッチングガス(エッチャント)として、S
6、SiCl4、CF4、NF3を例示することができ
る。
Further, in the case where the etchant is a neutral radical in the etching process for manufacturing the semiconductor device, charged particles (ions) can be obtained by using the plasma processing apparatus of the present invention including a radical beam etching apparatus. Alternatively, dry etching can be performed while suppressing the occurrence of damage to the substrate due to (electrons). In this case, S is used as an etching gas (etchant).
Examples thereof include F 6 , SiCl 4 , CF 4 , and NF 3 .

【0101】更には、半導体装置の作製等の各種の分野
におけるフォトリソグラフィ工程において、フォトレジ
ストを灰化(アッシング)する場合にも、本発明のプラ
ズマ処理装置を用いることにより、基体に対するプラズ
マ損傷の発生を抑制しながら、レジストの灰化(アッシ
ング)を行うことができる。この場合、一般に酸素ガス
の無声放電を行い酸素ラジカルの一種であるオゾンO3
を発生させる。更には、オゾンの寿命を延ばすために例
えば波長254nmの紫外線水銀ランプを照射しながら
レジストの灰化を行う方法がしばしば採られているが、
例えばプラズマ処理室の側壁に紫外線水銀ランプを配設
することにより、効率よく紫外線を酸素ラジカルに照射
することができる。
Furthermore, in the photolithography process in various fields such as the fabrication of semiconductor devices, the plasma treatment apparatus of the present invention can be used to prevent plasma damage to the substrate even when the photoresist is ashed. Ashing (ashing) of the resist can be performed while suppressing the generation. In this case, in general, a silent discharge of oxygen gas is performed to generate ozone O 3 which is a kind of oxygen radical.
Generate. Furthermore, in order to extend the life of ozone, a method of ashing the resist while irradiating an ultraviolet ray mercury lamp having a wavelength of 254 nm is often adopted.
For example, by disposing an ultraviolet mercury lamp on the side wall of the plasma processing chamber, it is possible to efficiently irradiate the oxygen radicals with ultraviolet rays.

【0102】プラズマ放電させるガス種については、特
に制限はない。上述した、SiO2から成る絶縁膜の形
成のためのソースガスであるSiの原料ガスとして、モ
ノシランSiH4ガスの他にも、ジシランSi26ガス
や高次シランガスを用いることができる。また、酸化性
のガスとして、酸素ガスの他に、N2O等の酸化窒素ガ
スを用いることができる。
There are no particular restrictions on the type of gas used for plasma discharge. As the source gas of Si, which is the source gas for forming the insulating film made of SiO 2 described above, disilane Si 2 H 6 gas or higher order silane gas can be used in addition to monosilane SiH 4 gas. In addition to oxygen gas, nitrogen oxide gas such as N 2 O can be used as the oxidizing gas.

【0103】絶縁膜あるいは薄膜はSiO2に限られ
ず、SiN、SiONの単層膜あるいはこれらの積層構
造とすることができる。尚、窒化膜(SiN)あるいは
酸化窒化膜(SiON)を成膜する場合には上述したガ
スに加え、例えばアンモニアガスを用いる。
The insulating film or thin film is not limited to SiO 2 and may be a single layer film of SiN or SiON or a laminated structure of these. When forming a nitride film (SiN) or an oxynitride film (SiON), ammonia gas, for example, is used in addition to the above-mentioned gas.

【0104】本発明のプラズマ処理方法あるいは半導体
装置の作製方法は、実施例にて説明したMIS型構造を
有する半導体装置を作製する場合に限られるものではな
く、他の各種半導体装置の作製に適用することができ
る。また、基体は、シリコンに限られるものではなく、
Ge、SiGe固溶体、あるいはSi−Ge系超格子等
の積層薄膜構造を有する場合、更には、これらの単結晶
材料、非晶質材料、多結晶材料等を挙げることができ
る。また、絶縁膜として、ゲート絶縁膜の他、層間絶縁
膜や平坦化絶縁膜を挙げることができる。
The plasma processing method or the semiconductor device manufacturing method of the present invention is not limited to the case of manufacturing the semiconductor device having the MIS type structure described in the embodiments, but is applied to the manufacture of other various semiconductor devices. can do. Further, the base body is not limited to silicon,
In the case of having a laminated thin film structure such as Ge, SiGe solid solution, or Si-Ge based superlattice, these single crystal materials, amorphous materials, polycrystalline materials and the like can be further mentioned. In addition to the gate insulating film, the insulating film may be an interlayer insulating film or a flattening insulating film.

【0105】例えば図15に模式的な一部断面図を示す
ように、図10の(B)で示したTFTに対して、例え
ば本発明の第1の態様に係るプラズマ処理方法あるいは
第1の態様に係る半導体装置の作製方法を適用して、S
iN又はSiONから成る層間絶縁膜110を全面に形
成する。次いで、層間絶縁膜110に開口部を形成し、
その後、開口部内を含む層間絶縁膜110上に、例えば
アルミニウム系合金から成る金属配線材料を例えばスパ
ッタ法や真空蒸着法にて成膜し、かかる金属配線材料を
フォトリソグラフィ技術及びエッチング技術によってパ
ターニングする。これによって、上層配線111を形成
することができる。更に、その上に、例えば本発明の第
1の態様に係るプラズマ処理方法あるいは第1の態様に
係る半導体装置の作製方法を適用して、SiO2やSi
NあるいはSiONから成る表面保護用若しくは平坦化
用の絶縁膜112を形成する。このようにして、プラズ
マ損傷の発生を低減させることができ、優れた素子特性
を有する半導体装置を作製することができる。
For example, as shown in a schematic partial sectional view in FIG. 15, for the TFT shown in FIG. 10B, for example, the plasma processing method or the first method according to the first aspect of the present invention is performed. By applying the method for manufacturing a semiconductor device according to the aspect,
An interlayer insulating film 110 made of iN or SiON is formed on the entire surface. Next, an opening is formed in the interlayer insulating film 110,
After that, a metal wiring material made of, for example, an aluminum alloy is formed on the interlayer insulating film 110 including the inside of the opening by, for example, a sputtering method or a vacuum deposition method, and the metal wiring material is patterned by a photolithography technique and an etching technique. . Thereby, the upper wiring 111 can be formed. Further, for example, by applying the plasma processing method according to the first aspect of the present invention or the method for manufacturing a semiconductor device according to the first aspect, SiO 2 or Si
An insulating film 112 made of N or SiON for surface protection or planarization is formed. In this way, the occurrence of plasma damage can be reduced, and a semiconductor device having excellent element characteristics can be manufactured.

【0106】本発明のプラズマ処理装置は、各種のドー
ピングを実行するためのプラズマドーピング装置にも適
用することができる。
The plasma processing apparatus of the present invention can also be applied to a plasma doping apparatus for performing various types of doping.

【0107】[0107]

【発明の効果】本発明の第1の態様に係るプラズマ処理
装置、あるいは係るプラズマ処理装置を用いたプラズマ
処理方法若しくは半導体装置の作製方法においては、径
がデバイ長の2倍以下の開口部を有するメッシュプレー
トを配設することによって、プラズマの遮蔽、即ち、プ
ラズマ中の電子又は正負イオンといった荷電粒子の遮蔽
を効果的に行うことができる。本発明の第2の態様に係
るプラズマ処理装置、あるいは係るプラズマ処理装置を
用いたプラズマ処理方法若しくは半導体装置の作製方法
においては、メッシュプレートに印加する電圧を適切に
制御することによって、基体の表面あるいは成膜中の薄
膜等に入射するプラズマ中の荷電粒子の運動エネルギー
を低減することができる。これらの効果によって、プラ
ズマ損傷により、例えば、絶縁膜/基体の界面及びその
近傍において欠陥が生成することを効果的に抑制するこ
とができる。
In the plasma processing apparatus according to the first aspect of the present invention, or the plasma processing method using the plasma processing apparatus or the method for manufacturing a semiconductor device, an opening having a diameter not more than twice the Debye length is formed. By disposing the mesh plate having, it is possible to effectively shield the plasma, that is, shield charged particles such as electrons or positive and negative ions in the plasma. In the plasma processing apparatus according to the second aspect of the present invention, or the plasma processing method or semiconductor device manufacturing method using the plasma processing apparatus, the surface of the substrate is controlled by appropriately controlling the voltage applied to the mesh plate. Alternatively, it is possible to reduce the kinetic energy of the charged particles in the plasma that enter the thin film or the like during film formation. Due to these effects, it is possible to effectively suppress the generation of defects due to plasma damage, for example, at the insulating film / substrate interface and in the vicinity thereof.

【0108】その結果、例えばゲート絶縁膜を形成した
場合、MISトランジスタの素子特性が向上する。即
ち、ゲート絶縁膜中の正電荷の生成が低減し、フラット
バンド電圧を0Vに近づけることができることから、n
チャネル型MISトランジスタにおけるデプレション型
への移行を回避しエンハンスメント型とすることがで
き、あるいは又、pチャネル型MISトランジスタにお
いては閾値電圧Vthの増大化を回避できる。従って、M
ISトランジスタの確実な動作が可能になり、両導電型
チャネルのMISトランジスタによる低電圧駆動のCM
OS等の集積回路化を容易に行うことができる。
As a result, the device characteristics of the MIS transistor are improved when, for example, a gate insulating film is formed. That is, since the generation of positive charges in the gate insulating film is reduced and the flat band voltage can be brought close to 0 V, n
It is possible to avoid the transition to the depletion type in the channel type MIS transistor and make it an enhancement type, or to avoid the increase of the threshold voltage V th in the p channel MIS transistor. Therefore, M
Enables reliable operation of the IS transistor, CM of low voltage drive by MIS transistor of both conductivity type channels
An integrated circuit such as an OS can be easily formed.

【0109】また、本発明の第1あるいは第2の態様に
係るプラズマ処理装置、あるいは又、これらのプラズマ
処理装置を用いたプラズマ処理方法は、薄膜形成のみな
らず、プラズマエッチング、レジストのアッシングに対
しても適用でき、薄膜形成と同様に、それぞれのプロセ
スにおいてプラズマ損傷を素子や基体に与えることな
い。それ故、例えば、フラットバンド電圧のシフト等を
効果的に抑制することができる。
Further, the plasma processing apparatus according to the first or second aspect of the present invention, or the plasma processing method using these plasma processing apparatuses is applicable to not only thin film formation but also plasma etching and resist ashing. It can also be applied to the same, and like the thin film formation, plasma damage is not given to the element or the substrate in each process. Therefore, for example, the shift of the flat band voltage can be effectively suppressed.

【0110】また、同一の基体(例えば半導体基板)に
おける素子特性のばらつきを小さくできることから、回
路の集積化が容易となる。更には、MISトランジスタ
においては、例えば半導体と絶縁膜の界面特性の向上、
即ち、サブスレッショールドスイング値を下げ、オン電
流を増大させ、オフ電圧を低下させ、閾値電圧Vthを低
下させることができ、集積回路の高速動作化が実現でき
る。
Further, since it is possible to reduce variations in element characteristics on the same base (eg, semiconductor substrate), circuit integration is facilitated. Further, in the MIS transistor, for example, improvement of interface characteristics between the semiconductor and the insulating film,
That is, the sub-threshold swing value can be lowered, the on-current can be increased, the off-voltage can be lowered, and the threshold voltage V th can be lowered, and high-speed operation of the integrated circuit can be realized.

【図面の簡単な説明】[Brief description of drawings]

【図1】実施例1のプラズマ処理装置の構造を示す模式
的である。
FIG. 1 is a schematic view showing a structure of a plasma processing apparatus of Example 1.

【図2】実施例1のプラズマ処理装置における、電子密
度とRF電力の関係を示す図である。
FIG. 2 is a diagram showing the relationship between electron density and RF power in the plasma processing apparatus of Example 1.

【図3】実施例2のプラズマ処理装置の構造を示す模式
的である。
FIG. 3 is a schematic diagram showing a structure of a plasma processing apparatus of a second embodiment.

【図4】実施例3のプラズマ処理装置の構造を示す模式
的である。
FIG. 4 is a schematic diagram showing a structure of a plasma processing apparatus of a third embodiment.

【図5】実施例4のプラズマ処理装置の構造を示す模式
的である。
FIG. 5 is a schematic view showing the structure of a plasma processing apparatus of Example 4.

【図6】実施例4のプラズマ処理装置における、プラズ
マ空間電位とメッシュプレートに印加した電圧との関
係、及び電子密度とRF電力の関係を示す図である。
FIG. 6 is a diagram showing a relationship between a plasma space potential and a voltage applied to a mesh plate and a relationship between an electron density and an RF power in a plasma processing apparatus of Example 4.

【図7】実施例5のプラズマ処理装置の構造を示す模式
的である。
FIG. 7 is a schematic view showing the structure of a plasma processing apparatus of Example 5.

【図8】実施例6及び比較例1における電気容量−電圧
(C−V)特性測定結果を示す図である。
FIG. 8 is a diagram showing measurement results of electric capacity-voltage (CV) characteristics in Example 6 and Comparative Example 1.

【図9】実施例7の半導体装置の作製方法の各工程を説
明するための工程図である。
FIG. 9 is a process diagram for explaining each step of the method for manufacturing the semiconductor device of Example 7;

【図10】図9に引き続き、実施例7の半導体装置の作
製方法の各工程を説明するための工程図である。
FIG. 10 is a process diagram for explaining each step of the method for manufacturing the semiconductor device of the seventh embodiment, following FIG. 9;

【図11】実施例7及び比較例2におけるドレイン電流
(ID)−ゲート電圧(VG)特性結果を示す図である。
Drain current (I D) in FIG. 11 Example 7 and Comparative Example 2 - a diagram showing the gate voltage (V G) characteristics result.

【図12】実施例8の半導体装置である太陽電池の模式
的な一部断面図である。
FIG. 12 is a schematic partial cross-sectional view of a solar cell that is a semiconductor device of Example 8.

【図13】実施例9における電気容量−電圧(C−V)
特性測定結果を示す図である。
FIG. 13 shows the capacitance-voltage (CV) in Example 9.
It is a figure which shows the characteristic measurement result.

【図14】実施例9における、ゲート絶縁膜の電荷密度
とメッシュプレートに印加した電圧V0の関係を示す図
である。
FIG. 14 is a diagram showing the relationship between the charge density of the gate insulating film and the voltage V 0 applied to the mesh plate in Example 9.

【図15】本発明の半導体装置の作製方法を適用した、
多層配線構造を有するMISトランジスタの模式的な一
部断面図である。
FIG. 15 is a diagram showing a method for manufacturing a semiconductor device according to the present invention,
It is a typical partial cross section figure of a MIS transistor which has a multilayer wiring structure.

【符号の説明】[Explanation of symbols]

10 プラズマ生成室 11,12,12A,21,32,33 外壁部材 13 電力導入端子 14 上部電極 15,22 ガス導入部 17,25,27,34 ガス排気部 16,18,26,28,35 バルブ 20 プラズマ処理室 23 ガス拡散器 24 被処理物載置部 30,31 プラズマ分離室 40,40A,41,41A,42 メッシュプレート 43 開口部 44 支持部材 46 可変直流電源 50 被処理物 100,200 ガラス基板 101,202 第1の半導体層 102,203 第2の半導体層 103 ソース・ドレイン領域 104 チャネル領域 105 ゲート絶縁膜 106 ソース・ドレイン電極 107 ゲート電極 201 下部電極 204 第3の半導体層 205 透明電極 206 表面の保護用絶縁膜 10 Plasma generation chamber 11, 12, 12A, 21, 32, 33 Outer wall member 13 Power introduction terminal 14 Upper electrode 15, 22 Gas introduction part 17, 25, 27, 34 Gas exhaust part 16, 18, 26, 28, 35 Valve 20 Plasma Processing Chamber 23 Gas Diffuser 24 Treatment Target Placement 30, 31 Plasma Separation Chamber 40, 40A, 41, 41A, 42 Mesh Plate 43 Opening 44 Supporting Member 46 Variable DC Power Supply 50 Treatment Target 100, 200 Glass Substrate 101, 202 First semiconductor layer 102, 203 Second semiconductor layer 103 Source / drain region 104 Channel region 105 Gate insulating film 106 Source / drain electrode 107 Gate electrode 201 Lower electrode 204 Third semiconductor layer 205 Transparent electrode 206 Insulating film for surface protection

Claims (17)

【特許請求の範囲】[Claims] 【請求項1】プラズマを生成するプラズマ生成室と、プ
ラズマ処理すべき被処理物を配置するプラズマ処理室と
を備えたプラズマ処理装置であって、プラズマ生成室と
プラズマ処理室との間に少なくとも1枚のプラズマ分離
用のメッシュプレートが配設されており、該メッシュプ
レートには複数の開口部が設けられており、該開口部の
径はプラズマのデバイ長の2倍以下であることを特徴と
するプラズマ処理装置。
1. A plasma processing apparatus comprising: a plasma generating chamber for generating plasma; and a plasma processing chamber for arranging an object to be plasma-processed, at least between the plasma generating chamber and the plasma processing chamber. One mesh plate for plasma separation is provided, the mesh plate is provided with a plurality of openings, and the diameter of the openings is not more than twice the Debye length of plasma. And a plasma processing apparatus.
【請求項2】プラズマ生成室とプラズマ処理室との間に
2枚以上のプラズマ分離用のメッシュプレートが配設さ
れており、各メッシュプレートに設けられた開口部の径
は、当該メッシュプレートで仕切られた2つの空間の
内、プラズマ生成室に近い側の空間におけるプラズマの
デバイ長の2倍以下であることを特徴とする請求項1に
記載のプラズマ処理装置。
2. Two or more mesh plates for plasma separation are arranged between the plasma generation chamber and the plasma processing chamber, and the diameter of the opening provided in each mesh plate is the same as that of the mesh plate. The plasma processing apparatus according to claim 1, wherein the debye length of the plasma in the space near the plasma generation chamber is equal to or less than twice the debye length of the plasma in the two partitioned spaces.
【請求項3】プラズマ生成室における電子密度をne
電子温度をTeとしたとき、プラズマ生成室に隣接した
メッシュプレートに設けられた開口部は2(kTeε0
e21/2の2倍以下(但し、kはボルツマン定数、
ε0は真空の誘電率、eは電子の電荷)であることを特
徴とする請求項1又は請求項2に記載のプラズマ処理装
置。
3. The electron density in the plasma generation chamber is n e ,
When the electron temperature is T e , the opening provided in the mesh plate adjacent to the plasma generation chamber is 2 (kT e ε 0 /
n e e 2 ) 1/2 or less (where k is Boltzmann's constant,
3. The plasma processing apparatus according to claim 1, wherein ε 0 is a vacuum permittivity and e is an electron charge.
【請求項4】プラズマを生成するプラズマ生成室と、プ
ラズマ処理すべき被処理物を配置するプラズマ処理室と
を備えたプラズマ処理装置であって、生成室とプラズマ
処理室との間に少なくとも1枚のプラズマ分離用のメッ
シュプレートが配設されており、該メッシュプレートに
複数の開口部が設けられており、該メッシュプレートに
0ボルト<V0≦30ボルトの電圧V0を印加し得ること
を特徴とするプラズマ処理装置。
4. A plasma processing apparatus comprising a plasma generating chamber for generating plasma and a plasma processing chamber for arranging an object to be plasma-processed, wherein at least one is provided between the generating chamber and the plasma processing chamber. A plurality of mesh plates for plasma separation are provided, the mesh plate is provided with a plurality of openings, and a voltage V 0 of 0 V <V 0 ≦ 30 V can be applied to the mesh plate. A plasma processing apparatus characterized by the above.
【請求項5】プラズマ処理室に配置されたプラズマ処理
すべき被処理物の表面電位と、該被処理物に隣接するメ
ッシュプレート近傍のプラズマ空間電位とが略同一とな
るように、該被処理物に隣接した該メッシュプレートに
印加する電圧V0を制御することを特徴とする請求項4
に記載のプラズマ処理装置。
5. The object to be processed so that the surface potential of the object to be plasma-treated in the plasma processing chamber and the plasma space potential near the mesh plate adjacent to the object to be treated are substantially the same. 5. The voltage V 0 applied to the mesh plate adjacent to the object is controlled.
The plasma processing apparatus according to.
【請求項6】プラズマ生成室とプラズマ処理室との間に
2枚以上のプラズマ分離用のメッシュプレートが配設さ
れており、各メッシュプレートに印加する電圧V0を略
同一にすることを特徴とする請求項4又は請求項5に記
載のプラズマ処理装置。
6. Two or more mesh plates for plasma separation are arranged between the plasma generation chamber and the plasma processing chamber, and the voltage V 0 applied to each mesh plate is substantially the same. The plasma processing apparatus according to claim 4 or 5.
【請求項7】プラズマ処理装置は、膜形成を目的とした
リモートプラズマCVD装置又はスパッタ装置であるこ
とを特徴とする請求項1乃至請求項6のいずれか1項に
記載のプラズマ処理装置。
7. The plasma processing apparatus according to any one of claims 1 to 6, wherein the plasma processing apparatus is a remote plasma CVD apparatus or a sputtering apparatus for the purpose of forming a film.
【請求項8】プラズマ処理装置は、エッチングを目的と
したラジカルビームエッチング装置であることを特徴と
する請求項1乃至請求項6のいずれか1項に記載のプラ
ズマ処理装置。
8. The plasma processing apparatus according to any one of claims 1 to 6, wherein the plasma processing apparatus is a radical beam etching apparatus for the purpose of etching.
【請求項9】プラズマ処理装置は、フォトレジストの灰
化を目的としたアッシング装置であることを特徴とする
請求項1乃至請求項6のいずれか1項に記載のプラズマ
処理装置。
9. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus is an ashing apparatus for ashing a photoresist.
【請求項10】請求項1乃至請求項6のいずれか1項に
記載のプラズマ処理装置を用いて、プラズマ処理室に配
置された基体上に薄膜を成膜することを特徴とするプラ
ズマ処理方法。
10. A plasma processing method using the plasma processing apparatus according to claim 1 to deposit a thin film on a substrate arranged in a plasma processing chamber. .
【請求項11】請求項1乃至請求項6のいずれか1項に
記載のプラズマ処理装置を用いて、プラズマ処理室に配
置された基体上に形成された薄膜をエッチングすること
を特徴とするプラズマ処理方法。
11. A plasma, characterized by using the plasma processing apparatus according to claim 1 to etch a thin film formed on a substrate placed in a plasma processing chamber. Processing method.
【請求項12】請求項1乃至請求項6のいずれか1項に
記載のプラズマ処理装置を用いて、プラズマ処理室に配
置された基体上に形成されたフォトレジストを灰化する
ことを特徴とするプラズマ処理方法。
12. A method of ashing a photoresist formed on a substrate arranged in a plasma processing chamber, using the plasma processing apparatus according to claim 1. Description: Plasma treatment method.
【請求項13】半導体装置は絶縁ゲート型電界効果トラ
ンジスタであり、請求項1乃至請求項6のいずれか1項
に記載のプラズマ処理装置を用いて、プラズマ処理室に
配置された基体上に絶縁膜を成膜することを特徴とする
半導体装置の作製方法。
13. The semiconductor device is an insulated gate field effect transistor, and is insulated on a substrate arranged in a plasma processing chamber by using the plasma processing device according to claim 1. Description: A method for manufacturing a semiconductor device, which comprises forming a film.
【請求項14】絶縁膜は、SiO2膜、SiN膜、Si
ON膜、あるいはこれらの膜の積層構造から成ることを
特徴とする請求項13に記載の半導体装置の作製方法。
14. The insulating film is a SiO 2 film, a SiN film, or a Si film.
14. The method of manufacturing a semiconductor device according to claim 13, comprising an ON film or a laminated structure of these films.
【請求項15】基体は、単結晶半導体材料、アモルファ
ス半導体材料又は多結晶半導体材料から成ることを特徴
とする請求項13又は請求項14に記載の半導体装置の
作製方法。
15. The method for manufacturing a semiconductor device according to claim 13, wherein the base is made of a single crystal semiconductor material, an amorphous semiconductor material, or a polycrystalline semiconductor material.
【請求項16】基体は、レーザでの加熱によって多結晶
化された多結晶シリコン層から成ることを特徴とする請
求項15に記載の半導体装置の作製方法。
16. The method of manufacturing a semiconductor device according to claim 15, wherein the substrate is made of a polycrystalline silicon layer which is polycrystallized by heating with a laser.
【請求項17】半導体装置は太陽電池であり、請求項1
乃至請求項6のいずれか1項に記載のプラズマ処理装置
を用いて、プラズマ処理室に配置された基体上に保護用
絶縁膜を成膜することを特徴とする半導体装置の作製方
法。
17. The semiconductor device is a solar cell, and
7. A method of manufacturing a semiconductor device, comprising: forming a protective insulating film on a substrate arranged in a plasma processing chamber, using the plasma processing apparatus according to claim 6.
JP33192594A 1994-12-09 1994-12-09 Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device Expired - Fee Related JP3353514B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP33192594A JP3353514B2 (en) 1994-12-09 1994-12-09 Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP33192594A JP3353514B2 (en) 1994-12-09 1994-12-09 Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH08167596A true JPH08167596A (en) 1996-06-25
JP3353514B2 JP3353514B2 (en) 2002-12-03

Family

ID=18249179

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33192594A Expired - Fee Related JP3353514B2 (en) 1994-12-09 1994-12-09 Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3353514B2 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6050217A (en) * 1997-08-26 2000-04-18 Murata Manufacturing Co., Ltd. Parallel plate plasma CVD apparatus
US6383299B1 (en) 1997-05-21 2002-05-07 Nec Corporation Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film
JP2002180257A (en) * 2000-12-18 2002-06-26 Fuji Electric Co Ltd Plasma treatment apparatus, method of depositing thin film, and surface treatment apparatus
JP2002203843A (en) * 2000-10-03 2002-07-19 Matsushita Electric Ind Co Ltd Plasma treatment method and device
US6458253B2 (en) 2000-03-13 2002-10-01 Canon Kabushiki Kaisha Thin film production process and optical device
WO2004047157A1 (en) * 2002-11-20 2004-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR100441297B1 (en) * 2001-09-14 2004-07-23 주성엔지니어링(주) Remote plasma-Assisted CCP type PECVD apparatus
WO2004097066A1 (en) 2003-04-30 2004-11-11 Aixtron Ag Method and device for depositing semiconductor layers using two process gases, of which one is preconditioned
JP2004535672A (en) * 2001-07-13 2004-11-25 アクセリス テクノロジーズ インコーポレーテッド Method and apparatus for low energy ion generation and transport by microjets in plasma processing
EP1502969A1 (en) * 2003-07-31 2005-02-02 Alcatel Method and apparatus for the soft deposition of dielectric films using plasma assisted CVD
US6851384B2 (en) 2000-06-29 2005-02-08 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US7267724B2 (en) 2000-06-23 2007-09-11 Anelva Corporation Thin-film disposition apparatus
JP2008038164A (en) * 2006-08-02 2008-02-21 Ulvac Japan Ltd Plasma cvd apparatus
KR100883696B1 (en) * 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
JP2009111378A (en) * 2008-10-15 2009-05-21 Georgia Tech Research Corp Method and device of low-energy electron acceleration etching and cleaning of substrate
JP2010153612A (en) * 2008-12-25 2010-07-08 Hiroshima Univ Method of manufacturing metal dot and method of manufacturing semiconductor memory using the same
JP4502159B2 (en) * 2000-07-12 2010-07-14 キヤノンアネルバ株式会社 Information recording disk deposition system
US7820246B2 (en) 2003-07-01 2010-10-26 Japan Science And Technology Agency Method for growing thin nitride film onto substrate and thin nitride film device
US8298624B2 (en) 2004-09-27 2012-10-30 Gallium Enterprises Pty Ltd. Method and apparatus for growing a group (III) metal nitride film and a group (III) metal nitride film
CN103348776A (en) * 2011-02-15 2013-10-09 应用材料公司 Method and apparatus for multizone plasma generation
JP2014067943A (en) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd Thin film formation system and thin film formation method
JP2014084516A (en) * 2012-10-25 2014-05-12 Toray Eng Co Ltd Plasma cvd apparatus
JP2014196561A (en) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド Liner assembly and substrate processing apparatus including the same
JP2014209622A (en) * 2013-04-05 2014-11-06 ラム リサーチ コーポレーションLam Research Corporation Application of internal plasma grid for semiconductor fabrication
JP2014239210A (en) * 2013-04-05 2014-12-18 ラム リサーチ コーポレーションLam Research Corporation Internal plasma grid for semiconductor fabrication
JP2015050362A (en) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus
JPWO2013175897A1 (en) * 2012-05-23 2016-01-12 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
WO2020017328A1 (en) * 2018-07-17 2020-01-23 東京エレクトロン株式会社 Plasma processing device and plasma processing method
CN115125522A (en) * 2022-07-29 2022-09-30 龙鳞(深圳)新材料科技有限公司 Film coating system

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5676242A (en) * 1979-11-26 1981-06-23 Tokyo Ohka Kogyo Co Ltd Treating apparatus using gas plasma reaction
JPH0271516A (en) * 1988-06-21 1990-03-12 Agency Of Ind Science & Technol Gas reaction processing
JPH0396279A (en) * 1989-09-08 1991-04-22 Seiko Epson Corp Manufacture of semiconductor device
JPH04225226A (en) * 1990-12-26 1992-08-14 Fujitsu Ltd Plasma treating apparatus
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
JPH0633270A (en) * 1992-07-21 1994-02-08 Anelva Corp Vacuum treatment device
JPH06224154A (en) * 1993-01-25 1994-08-12 Mitsubishi Electric Corp Plasma processing apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5676242A (en) * 1979-11-26 1981-06-23 Tokyo Ohka Kogyo Co Ltd Treating apparatus using gas plasma reaction
JPH0271516A (en) * 1988-06-21 1990-03-12 Agency Of Ind Science & Technol Gas reaction processing
JPH0396279A (en) * 1989-09-08 1991-04-22 Seiko Epson Corp Manufacture of semiconductor device
JPH04225226A (en) * 1990-12-26 1992-08-14 Fujitsu Ltd Plasma treating apparatus
JPH0521393A (en) * 1991-07-11 1993-01-29 Sony Corp Plasma processor
JPH0633270A (en) * 1992-07-21 1994-02-08 Anelva Corp Vacuum treatment device
JPH06224154A (en) * 1993-01-25 1994-08-12 Mitsubishi Electric Corp Plasma processing apparatus

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383299B1 (en) 1997-05-21 2002-05-07 Nec Corporation Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film
US6444327B1 (en) 1997-05-21 2002-09-03 Nec Corporation Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film
US6830786B2 (en) 1997-05-21 2004-12-14 Nec Corporation Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film
US6050217A (en) * 1997-08-26 2000-04-18 Murata Manufacturing Co., Ltd. Parallel plate plasma CVD apparatus
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6458253B2 (en) 2000-03-13 2002-10-01 Canon Kabushiki Kaisha Thin film production process and optical device
US7267724B2 (en) 2000-06-23 2007-09-11 Anelva Corporation Thin-film disposition apparatus
US6851384B2 (en) 2000-06-29 2005-02-08 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
US7709063B2 (en) 2000-06-29 2010-05-04 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
US7392759B2 (en) 2000-06-29 2008-07-01 Nec Corporation Remote plasma apparatus for processing substrate with two types of gases
JP4502159B2 (en) * 2000-07-12 2010-07-14 キヤノンアネルバ株式会社 Information recording disk deposition system
JP2002203843A (en) * 2000-10-03 2002-07-19 Matsushita Electric Ind Co Ltd Plasma treatment method and device
JP2002180257A (en) * 2000-12-18 2002-06-26 Fuji Electric Co Ltd Plasma treatment apparatus, method of depositing thin film, and surface treatment apparatus
JP2004535672A (en) * 2001-07-13 2004-11-25 アクセリス テクノロジーズ インコーポレーテッド Method and apparatus for low energy ion generation and transport by microjets in plasma processing
KR100441297B1 (en) * 2001-09-14 2004-07-23 주성엔지니어링(주) Remote plasma-Assisted CCP type PECVD apparatus
WO2004047157A1 (en) * 2002-11-20 2004-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JPWO2004047157A1 (en) * 2002-11-20 2006-04-13 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR100883696B1 (en) * 2002-11-20 2009-02-13 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
JP4673063B2 (en) * 2002-11-20 2011-04-20 東京エレクトロン株式会社 Plasma processing equipment
US7709398B2 (en) 2003-04-30 2010-05-04 Aixtron Ag Process and apparatus for depositing semiconductor layers using two process gases, one of which is preconditioned
WO2004097066A1 (en) 2003-04-30 2004-11-11 Aixtron Ag Method and device for depositing semiconductor layers using two process gases, of which one is preconditioned
US7820246B2 (en) 2003-07-01 2010-10-26 Japan Science And Technology Agency Method for growing thin nitride film onto substrate and thin nitride film device
FR2858333A1 (en) * 2003-07-31 2005-02-04 Cit Alcatel METHOD AND DEVICE FOR LOW-AGGRESSIVE DEPOSITION OF PLASMA-ASSISTED VAPOR PHASE DIELECTRIC FILMS
EP1502969A1 (en) * 2003-07-31 2005-02-02 Alcatel Method and apparatus for the soft deposition of dielectric films using plasma assisted CVD
US8298624B2 (en) 2004-09-27 2012-10-30 Gallium Enterprises Pty Ltd. Method and apparatus for growing a group (III) metal nitride film and a group (III) metal nitride film
JP2008038164A (en) * 2006-08-02 2008-02-21 Ulvac Japan Ltd Plasma cvd apparatus
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
JP2009111378A (en) * 2008-10-15 2009-05-21 Georgia Tech Research Corp Method and device of low-energy electron acceleration etching and cleaning of substrate
JP2010153612A (en) * 2008-12-25 2010-07-08 Hiroshima Univ Method of manufacturing metal dot and method of manufacturing semiconductor memory using the same
CN103348776A (en) * 2011-02-15 2013-10-09 应用材料公司 Method and apparatus for multizone plasma generation
US9809881B2 (en) 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation
JPWO2013175897A1 (en) * 2012-05-23 2016-01-12 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP2014067943A (en) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd Thin film formation system and thin film formation method
JP2014084516A (en) * 2012-10-25 2014-05-12 Toray Eng Co Ltd Plasma cvd apparatus
JP2014196561A (en) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド Liner assembly and substrate processing apparatus including the same
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
JP2014239210A (en) * 2013-04-05 2014-12-18 ラム リサーチ コーポレーションLam Research Corporation Internal plasma grid for semiconductor fabrication
JP2014209622A (en) * 2013-04-05 2014-11-06 ラム リサーチ コーポレーションLam Research Corporation Application of internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP2015050362A (en) * 2013-09-03 2015-03-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus
WO2020017328A1 (en) * 2018-07-17 2020-01-23 東京エレクトロン株式会社 Plasma processing device and plasma processing method
CN115125522A (en) * 2022-07-29 2022-09-30 龙鳞(深圳)新材料科技有限公司 Film coating system

Also Published As

Publication number Publication date
JP3353514B2 (en) 2002-12-03

Similar Documents

Publication Publication Date Title
JP3353514B2 (en) Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device
US7011866B1 (en) Method and apparatus for film deposition
US7422984B2 (en) Method of manufacturing a semiconductor device
KR100222319B1 (en) Thin film transistor and method for fabricating the same
EP0459763A1 (en) Thin-film transistors
US7226848B2 (en) Substrate treating method and production method for semiconductor device
US6033973A (en) Ion doping device and method of cleaning ion doping system
KR20050054788A (en) Fabrication method of poly-crystalline si thin film and transistor thereby
JP5116357B2 (en) Method for introducing dopant element into silicon layer, method for manufacturing polysilicon solar cell, method for manufacturing polysilicon type thin film transistor
US7394130B2 (en) Transistor and method for manufacturing the same
JPS63194326A (en) Manufacture of semiconductor device
JP4126517B2 (en) Vapor processing equipment
JPH04165679A (en) Insulating gate type semiconductor device
KR20000052527A (en) semiconductor equipment and the same methode
JPH08242005A (en) Amorphous silicon thin film transistor and its manufacture
US10818507B2 (en) Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
JP4131813B2 (en) Plasma etching method and semiconductor device manufacturing method
JP2006049535A (en) Thin film semiconductor device and manufacturing method thereof
US6150203A (en) Method for manufacturing a semiconductor device
JP2001085701A (en) Element having multilayer structure, its manufacturing device and its manufacturing method
JP4476984B2 (en) Method for manufacturing semiconductor device
JP2002170820A (en) Method for manufacturing thin-film transistor and plasma-processing apparatus used for it
JPH0845858A (en) Plasma treatment system
JP2860894B2 (en) Semiconductor device manufacturing method
JP2877129B2 (en) Thin film transistor and method of manufacturing the same

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080927

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090927

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees