JPH0815252B2 - フリップフロップ回路 - Google Patents

フリップフロップ回路

Info

Publication number
JPH0815252B2
JPH0815252B2 JP3062803A JP6280391A JPH0815252B2 JP H0815252 B2 JPH0815252 B2 JP H0815252B2 JP 3062803 A JP3062803 A JP 3062803A JP 6280391 A JP6280391 A JP 6280391A JP H0815252 B2 JPH0815252 B2 JP H0815252B2
Authority
JP
Japan
Prior art keywords
output
input
flip
flop
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3062803A
Other languages
English (en)
Other versions
JPH04298115A (ja
Inventor
一剛 小原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP3062803A priority Critical patent/JPH0815252B2/ja
Publication of JPH04298115A publication Critical patent/JPH04298115A/ja
Publication of JPH0815252B2 publication Critical patent/JPH0815252B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体集積回路に用い
る低消費電力のフリップフロップ回路に関する。
【0002】
【従来の技術】従来のエッジトリガー方式D型フリップ
フロップ(以下FFと記す)を図5に示す。図5におい
て、39,40,43,44,46と47はNOT論理素
子、38,41,42と45はスイッチ素子である。図6
(a)はタイミングチャート、図6(b)は消費電力を
示す。以下に、図5と図6を参照してD型FFの動作原
理を説明する。
【0003】図5において、D型FFの回路は前段のマ
スタ・ラッチと後段のスレーブ・ラッチで構成される。
また、スイッチ38,41,42と45はCLK入力によ
り制御される。CLK入力が"L"の時、マスタ・ラッチ
は透過状態、スレーブ・ラッチは保持状態になる。CL
K入力が"H"の時、マスタ・ラッチは保持状態、スレー
ブ・ラッチは透過状態になる。従って、CLK入力が"
L"から"H"に遷移する立上がりエッジで、D入力の状
態がQ出力に伝搬し、D入力の反転状態がQ_出力に伝
搬する。
【0004】図6(a)は、D型FFの動作をタイミン
グチャートで図示したものである。図6(b)は、図6
(a)のタイミングチャートに対応する消費電力と平均
消費電力を図示したものである。
【0005】
【発明が解決しようとる課題】従来のエッジトリガー方
式のD型FFは、図6から明らかなようにD入力とQ出
力が同一状態である場合の消費電力が全体の消費電力に
占める割合が大きく、このため平均消費電力が大きいと
いう問題があった。
【0006】本発明は、消費電力が非常に小さく、高集
積化に適したフリップフロップ回路を提供することを目
的とする。
【0007】
【課題を解決するための手段】本発明のフリップフロッ
プ回路は、エッジトリガー方式D型フリップフロップに
おいて、フリップフロップのD入力とQ出力又はQ_出
力の状態を監視し、一致又は不一致の情報を出力する状
態監視回路と、この状態監視回路の出力によりCLK入
力のフリップフロップ内部への伝搬を制御するクロック
制御回路とを備えたものである。
【0008】
【作用】本発明は、上述の回路構成により、エッジトリ
ガー方式D型FFのD入力とQ出力が同一状態である場
合のCLK入力の変化による消費電力を減少させる。
【0009】
【実施例】本発明のフリップフロップ回路の実施例を図
1,図2,図3の回路図、図4(a)のタイミングチャー
トの図、図4(b)の消費電力の図を参照して説明す
る。
【0010】本発明のフリップフロップ回路は、その基
本部分で一般のエッジトリガー方式D型FFと同じであ
る。しかし、図1に示す様に、Q出力に、ゲートがD入
力により制御される第1NMOS Tr11の入力を接
続し、Q_出力に、ゲートがD入力により制御される第
2NMOS Tr10の入力を接続し、第1NMOST
r11の出力と第2NMOS Tr10の出力を接続し
たものを出力とする状態監視回路50を備え、状態監視
回路50の出力を、CLK入力と入力が接続したNAN
D素子12よりなるクロック制御回路51の他の入力に
接続する点で従来のものと異なる。
【0011】また、図2に示す様に、D入力に、ゲート
がQ_出力により制御される第1NMOS Tr23の
入力を接続し、D入力の反転信号D_に、ゲートがQ出
力により制御される第2NMOS Tr24の入力を接
続し、第1NMOS Tr23の出力と第2NMOS 2
4の出力を接続したものを出力とする状態監視回路50
を備え、状態監視回路50の出力を、CLK入力と入力
が接続したNAND素子25よりなるクロック制御回路
51の他の入力に接続する点で従来のものと異なる。
【0012】また、図3に示す様に、EXOR素子35
を備え、EXOR素子35の一方の入力を、D入力に接
続し、EXOR素子35の他方の入力を、Q出力に接続
し、EXOR素子35の出力を出力とする状態監視回路
50を備え、状態監視回路50の出力を、CLK入力と
入力が接続したNAND素子36よりなるクロック制御
回路51の他の入力に接続する点で従来のものと異な
る。
【0013】ところで、従来のフリップフロップ回路で
は、D入力とQ出力が同一状態である場合の消費電力が
全体の消費電力に占める割合が大きく、このため平均消
費電力が大きいという問題があった。従って、D入力と
Q出力が同一状態である場合の消費電力を0にすること
ができれば、消費電力の大幅な低減が可能になる。本発
明は、この点に注目したものである。
【0014】図1において、D型のFFの回路は前段の
マスタ・ラッチと後段のスレーブ・ラッチで構成され
る。また、スイッチ4,5は内部信号CKにより制御さ
れ、スイッチ1,8は内部信号CK_により制御され
る。状態監視回路50の出力P1が"H"の時、図1の回
路は従来のエッジトリガー方式D型FFと同一の動作を
する。即ち、CLK入力が"L"から"H"に遷移する立上
がりエッジで、D入力の状態がQ出力に伝搬し、D入力
の反転状態がQ_出力に伝搬する。P1の状態は、D入
力とQ出力及びQ_出力で決まる。D入力="L",Q出
力="L"の時、第1NMOS Tr11はON,第2N
MOS Tr10はOFFし、P1は"L"になる。D入
力="L", Q出力="H"の時、第1NMOS Tr11
はON,第2NMOS Tr10はOFFし、P1は"
H"になる。D入力="H", Q出力="L"の時、第1N
MOS Tr11はOFF,第2NMOS Tr10はO
Nし、P1は"H"になる。D入力="H",Q出力="H"
の時、第1NMOS Tr11はOFF,第2NMOS
Tr10はONし、P1は"L"になる。即ち、状態監視
回路50の出力P1はDとQの排他的論理和になる。
【0015】図4(a)のタイミングチャートにおい
て、t0の時、入力D="L",出力Q="H"でP1は"
H"になる。t0→t1の時、入力CLKの変化はクロッ
ク制御回路51のNAND12を伝搬し、NAND素子
12の出力CK_は"H"から"L"に変化する。また、N
OT素子13の出力CKは"L"から"H"に変化する。C
KとCK_の変化により、D入力の状態"L"がQ出力に
伝搬し、D入力の反転状態"H"がQ_出力に伝搬する。
Q出力が"H"から"L"に変化したことによりP1は"L"
になる。さらに、P1が"L"になることによりCK_
は"L"から"H"になる。結果的にCK_は、"H"→"L"
→"H"と変化するが、"L"の期間は、CK_とCKの変
化によりD入力の状態がQ出力に伝搬する時間と、Q出
力の状態が第1MOS Tr11を通じてP1に伝搬す
る時間と、P1の状態がNAND素子12の出力CK_
に伝搬する時間を合計したものになる。また上記の説明
で明らかなように、CK_の"L"→"H"の変化はQ出力
及びQ_出力の変化によって起こるため、フリッププロ
ップは安定した動作をする。
【0016】t1の時、D入力="H",Q出力="L"
で、P1は"L"である。NAND素子12の出力CK_
は"H"であり、CLK入力の変化は伝搬しない。
【0017】t1→t2の時、入力D="H",出力Q="
L"で、P1は"H"である。入力CLKの変化はNAN
D素子12を伝搬し、NAND素子12の出力CK_
は"H"から"L"に変化する。また、NOT素子13の出
力CKは"L"から"H"に変化する。CKとCK_の変化
により、D入力の状態"H"がQ出力に伝搬し、D入力の
反転状態"L"がQ_出力に伝搬する。Q出力が"L"か
ら"H"に変化したことによりP1は"L"になる。さら
に、P1が"L"になることによりCK_は"L"から"H"
になる。結果的に、CK_は"H"→"L"→"H"と変化す
る。t0→t1の時と同様に、フリップフロップは安定し
た動作をする。
【0018】t2の時、D入力="H",Q出力="H"で
P1は"L"である。NAND素子12の出力CK_は"
H"であり、CLK入力の変化は伝搬しない。即ち、図
1のフリップフロップ回路は、基本動作は従来の回路と
同じであるが、D入力とQ出力が同一状態の場合は、入
力CLKの変化はNAND素子12によりフリップフロ
ップの内部に伝搬しない。
【0019】スタティック動作のCMOS論理回路の場
合、全体の消費電流は、論理素子がスイッチングする時
の負荷容量を充電または放電する電流と電源からアース
への貫通電流である。従って、図1のフリップフロップ
回路は、D入力とQ出力が同一状態の場合、消費電力が
0になる。また、D入力とQ出力が異なる状態の場合の
消費電力は、従来の回路と比較すると増加するが、NO
T素子9による消費電力のみであり小さい。
【0020】ところで、従来の回路において、CLK入
力が"L"→"H"→"L"又は"H"→"L"→"H"と変化した
ことによる消費電力を50μW、Q出力が"L"→"H"
→"L"又は"H"→"L"→"H"と変化したことによる消費
電力を25μWとすると、図6のタイミングチャートの
場合の消費電力は、50×8+25=425μWとな
る。図6(b)は、この様子を図示している。一方、本
発明の回路において、CLK入力が"L"→"H"→"L"又
は"H"→"L"→"H"と変化したことによる消費電力を5
0μW、Q出力が"L"→"H"→"L"又は"H"→"L"→"
H"と変化したことによる消費電力を、50μWとする
と、図6のタイミングチャートの場合の消費電力は、5
0×2+50=150μWとなる。図4(b)は、この
様子を図示している。即ち、CLK入力の周波数(以下
fCLKと記す)=D入力の周波数(以下fdと記す)×8
の場合、(本発明の回路の消費電力)/(従来の回路の
消費電力)(以下Prと記す)=0.35(150/42
5)となる。同様に、fCLK=fd×4の場合はPr=0.
67(150/225)となり、fCLK=fd×2の場合
はPr=1.20(150/125)となる。仮にある論
理回路のフリップフロップの1/3がfCLK=fd×8で
動作し、1/3がfCLK=fd×4で動作し、残りの1/
3のフリップフロップがfCLK=fd×2で動作する場
合、Pr=0.74となり、消費電力は低減する。また、
fCLK=fd×8とfCLK=fd×4の部分にのみ選択的に
本発明の回路を用い、fCLK=fd×2の場合は従来の回
路を用いるとすると、Pr=0.67となり、消費電力は
さらに低減する。以上は、図1の回路の説明であるが、
図2,図3の場合も同様である。
【0021】ところで、本実施例の構成(図1の回路
図)は従来の図6の回路と比較すると、トランジスタ数
で6個の増加であるが、トランジスタのファンアウトが
小さいため、トランジスタのサイズを小さくでき、集積
回路にした場合の面積の増加は小さい。D入力と同時
に、その反転状態であるD_も入力として存在する場
合、トランジスタ数は4個の増加であり、さらに面積の
増加は小さくなる。また、従来のフリップフロップ回路
と入力及び出力端子が同一であり、かつ入力及び出力端
子から見た場合の動作が同一であるため、極めて実用性
が高いという特徴を持つ。
【0022】なお、本実施例では、クロック制御回路と
してNAND素子を用いたが、AND素子を用いること
もできる。さらに、状態監視回路の出力の極性が本実施
例と逆の場合は、NOR素子又はOR素子を用いること
ができる。さらに、本実施例では、状態監視回路の第一
MOS Trと第二MOS TrはNMOS Trを用い
たが、PMOS Trを用いることができることは言う
までもない。
【0023】
【発明の効果】本発明のフリップフロップ回路によれ
ば、D入力とQ出力の状態が同一の場合の消費電力を0
にでき、ひいては平均消費電力を低減させ、かつ安定し
たスタティック動作が可能で、チップ面積の小さいフリ
ップフロップを構成することができるという効果が奏さ
れる。
【図面の簡単な説明】
【図1】本発明のフリップフロップ回路の回路図であ
る。
【図2】本発明のフリップフロップ回路の回路図であ
る。
【図3】本発明のフリップフロップ回路の回路図であ
る。
【図4】本発明の回路の動作説明図である。
【図5】従来のフリップフロップ回路の回路図である。
【図6】従来のフリップフロップ回路の動作説明図であ
る。
【符号の説明】
1,4,5,8 スイッチ素子 2,3,6,7,9 NOT素子 10,11 エンハンスメント型NMOS Tr 12 NAND素子 13 NOT素子 50 状態監視回路 51 クロック制御回路

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】エッジトリガー方式D型フリップフロップ
    において、フリップフロップのD入力とQ出力又はQ_
    出力の状態を監視し、一致又は不一致の情報を出力する
    状態監視回路と、この状態監視回路の出力によりCLK
    入力のフリップフロップ内部への伝搬を制御するクロッ
    ク制御回路とを備えたフリップフロップ回路。
  2. 【請求項2】請求項1において、状態監視回路は、フリ
    ップフロップのQ出力に、ゲートがフリップフロップの
    D入力により制御される第1電界効果形トランジスタの
    入力を接続し、フリップフロップのQ_出力に、ゲート
    がフリップフロップのD入力により制御される第2電界
    効果形トランジスタの入力を接続し、前記第1電界効果
    形トランジスタの出力と前記第2電界効果形トランジス
    タの出力を接続したものを出力とすることを特徴とする
    フリップフロップ回路。
  3. 【請求項3】請求項1において、状態監視回路は、フリ
    ップフロップのD入力に、ゲートがフリップフロップの
    Q_出力又はQ出力により制御される第1電界効果形ト
    ランジスタの入力を接続し、フリップフロップのD入力
    の反転信号D_に、ゲートがフリップフロップのQ出力
    又はQ_出力により制御される第2電界効果形トランジ
    スタの入力を接続し、前記第1電界効果形トランジスタ
    の出力と前記第2電界効果形トランジスタの出力を接続
    したものを出力とすることを特徴とするフリップフロッ
    プ回路。
  4. 【請求項4】請求項1において、状態監視回路は、EX
    OR素子又はEXNOR素子を備え、前記EXOR素子
    又はEXNOR素子の一方の入力を、フリップフロップ
    のD入力に接続し、前記EXOR素子又はEXNORの
    他方の入力を、フリップフロップのQ出力又はQ_出力
    に接続し、前記EXOR素子又はEXNORの出力を出
    力とすることを特徴とするフリップフロップ回路。
JP3062803A 1991-03-27 1991-03-27 フリップフロップ回路 Expired - Fee Related JPH0815252B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3062803A JPH0815252B2 (ja) 1991-03-27 1991-03-27 フリップフロップ回路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3062803A JPH0815252B2 (ja) 1991-03-27 1991-03-27 フリップフロップ回路

Publications (2)

Publication Number Publication Date
JPH04298115A JPH04298115A (ja) 1992-10-21
JPH0815252B2 true JPH0815252B2 (ja) 1996-02-14

Family

ID=13210863

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3062803A Expired - Fee Related JPH0815252B2 (ja) 1991-03-27 1991-03-27 フリップフロップ回路

Country Status (1)

Country Link
JP (1) JPH0815252B2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04306013A (ja) * 1991-04-03 1992-10-28 Mitsubishi Electric Corp ラッチ回路装置
ES2078173B1 (es) * 1993-12-30 1998-01-16 Alcatel Standard Electrica Arquitectura de circuitos integrados digitales.
KR100478675B1 (ko) * 1995-11-08 2005-05-16 마츠시타 덴끼 산교 가부시키가이샤 신호처리회로및신호처리방법
TW419825B (en) 1998-08-26 2001-01-21 Toshiba Corp Flip-flop circuit with clock signal control function and clock control signal
JP4497708B2 (ja) * 2000-12-08 2010-07-07 三菱電機株式会社 半導体装置
KR100487654B1 (ko) * 2002-10-22 2005-05-03 삼성전자주식회사 저전력 플립플롭 회로
JP4606810B2 (ja) * 2003-08-20 2011-01-05 パナソニック株式会社 半導体集積回路
KR100532477B1 (ko) * 2003-10-24 2005-12-01 삼성전자주식회사 입력 신호의 트랜지션 구간에서 안정적으로 동작하는 패스게이트 회로와 이를 구비하는 셀프 리프레쉬 회로 및 패스게이트 회로의 제어방법
JP4814561B2 (ja) * 2004-07-27 2011-11-16 パナソニック株式会社 半導体集積回路
US7282957B2 (en) * 2004-07-27 2007-10-16 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit
KR100571647B1 (ko) * 2005-03-31 2006-04-17 주식회사 하이닉스반도체 반도체 장치의 데이터 래치회로
JP5309915B2 (ja) * 2008-11-17 2013-10-09 サンケン電気株式会社 レベルシフト回路
JP5257122B2 (ja) * 2009-02-17 2013-08-07 富士通株式会社 クロック生成回路
WO2011074050A1 (ja) * 2009-12-18 2011-06-23 富士通株式会社 ラッチ回路及びクロック制御回路

Also Published As

Publication number Publication date
JPH04298115A (ja) 1992-10-21

Similar Documents

Publication Publication Date Title
Kong et al. Conditional-capture flip-flop for statistical power reduction
US5498988A (en) Low power flip-flop circuit and method thereof
US5250852A (en) Circuitry and method for latching a logic state
JP3552972B2 (ja) スタティッククロックパルス発振器、空間光変調器、およびディスプレイ
JPH0815252B2 (ja) フリップフロップ回路
JP3653170B2 (ja) ラッチ回路およびフリップフロップ回路
JP3621619B2 (ja) プリセット/クリアの論理が内蔵されているゲート付きインバータ・フィードバック構造を有するフリップフロップ
US6573775B2 (en) Integrated circuit flip-flops that utilize master and slave latched sense amplifiers
CN111600577A (zh) 反相输出动态d触发器
CN114567297B (zh) D触发器以及包括d触发器的处理器和计算装置
US6762637B2 (en) Edge-triggered d-flip-flop circuit
JP4589496B2 (ja) 省電力用条件付き捕獲フリップフロップ
JP2004064557A (ja) フリップフロップ回路およびシフトレジスタ
US5930322A (en) Divide-by-4/5 counter
JPH09312553A (ja) 論理回路
US6448862B1 (en) Single event upset immune oscillator circuit
US6236240B1 (en) Hold-time latch mechanism compatible with single-rail to dual-rail conversion
US6078196A (en) Data enabled logic circuits
US20080030250A1 (en) Flip-flop circuit
US6252449B1 (en) Clock distribution circuit in an integrated circuit
US20210184657A1 (en) Apparatus for Asynchronous Latch with Improved Performance and Associated Methods
JP3024397B2 (ja) ダブル・エッジトリガ・フリップフロップ
JPH10335992A (ja) 半導体集積回路装置
JPS63313222A (ja) キ−入力装置
US6940312B2 (en) Low switching power limited switch dynamic logic

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080214

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090214

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090214

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100214

Year of fee payment: 14

LAPS Cancellation because of no payment of annual fees