JPH0719774B2 - 選択的薄膜エッチング法及びそれに使用するガス混合物 - Google Patents

選択的薄膜エッチング法及びそれに使用するガス混合物

Info

Publication number
JPH0719774B2
JPH0719774B2 JP63067977A JP6797788A JPH0719774B2 JP H0719774 B2 JPH0719774 B2 JP H0719774B2 JP 63067977 A JP63067977 A JP 63067977A JP 6797788 A JP6797788 A JP 6797788A JP H0719774 B2 JPH0719774 B2 JP H0719774B2
Authority
JP
Japan
Prior art keywords
etching
sif
gas
silicon
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP63067977A
Other languages
English (en)
Other versions
JPH011236A (ja
JPS641236A (en
Inventor
エス ダンフィールド ジョン
ジェイ テイラー ブラッドリー
Original Assignee
アプライド マテリアルズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アプライド マテリアルズ インコーポレーテッド filed Critical アプライド マテリアルズ インコーポレーテッド
Publication of JPH011236A publication Critical patent/JPH011236A/ja
Publication of JPS641236A publication Critical patent/JPS641236A/ja
Publication of JPH0719774B2 publication Critical patent/JPH0719774B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Description

【発明の詳細な説明】 発明の背景 本発明は二酸化ケイ素上に形成された膜例えば窒化ケイ
素の選択的な、好ましくは異方性のエッチングに関す
る。とりわけ、本発明は下層二酸化ケイ素に対して高い
選択性で、制御された窒化ケイ素プロフイルおよび制御
された二酸化ケイ素の減少または増加で異方的に窒化ケ
イ素をエッチングする方法に関する。
複合体窒化ケイ素被覆二酸化ケイ素は集積回路において
多くの適用を有する。例えば窒化物被覆酸化物はフィー
ルド分離酸化物のLOCOS形成中のIC(集積回路)ウェー
ハのフィールド領域の選択的露出のために酸化マスクと
して使用できる。そのような適用において下層酸化物は
非常に薄いことができる。窒化ケイ素LOCOSマスクのパ
ターニング中に、ホトレジストのようなリソグラフマス
クをディメンション損失なく良好な分解能で、上層ホト
レジストマスクおよび下層の薄い酸化物のエッチングな
く(すなわち、適当に高い選択性で)窒化ケイ素中に正
確に模写することが必要である。窒化物が後に活性領域
から除去されるとき、ホトレジストがLOCOS酸化の前に
剥離されるのでホトレジストに対する選択性は関係がな
い。しかし、薄い酸化物の劣化または除去の防止はなお
非常に重要である。
フルオロカーボンエッチング剤例えばCH3FおよびCH2F2
並びにSF6およびNF3は窒化ケイ素のエッチングに使用さ
れた。フルオロカーボンエッチング剤は酸化物上に付着
し、従って、エッチング選択性を高める不揮発性炭素含
有有機重合体を形成することができる。しかし、炭素は
粒子を形成し、従って、殊に比較的少ない非常に小さい
粒子に対しても鋭敏であるLSI(大規模集積)およびVLS
I(超大規模集積)回路に対する好ましくないダーティ
プロセスを生ずる。さらに、有機重合体層はリアクター
内にときどき無差別に付着することができ、リアクター
の比較的頻繁な洗浄を必要とする。
発明の概要 本発明の主目的は薄膜例えば二酸化ケイ素下層上に形成
された窒化ケイ素を選択的にエッチングすることであ
る。
関連目的は窒化ケイ素被覆二酸化ケイ素の選択的異方性
エッチングを、生ずる制御された異方性窒化物エッチプ
ロフィルおよび下層酸化物に対する制御された選択性
(増加または減少)で達成する方法を提供することであ
る。
他の関連目的はホトレジストマスクに対する制御された
選択性もまた達成する方法を提供することである。
上記および他の目的は窒化ケイ素をエッチングし酸化物
を選択的に付着してそれによりフッ素種による酸化物下
層の固有のエッチングを相殺するSiF4およびO2基反応物
ガス流を用いて達成される。我々の知る限り、SiF4およ
びO2は二酸化ケイ素の付着に用いられたが、しかし薄膜
例えば窒化物のエッチングおよびそのような膜の下層上
の制御付着を有するエッチングに使用されなかった。
1観点において、我々の方法は酸化ケイ素下層上に形成
された窒化ケイ素層を含む物体の窒化ケイ素層成分を、
酸化ケイ素下層に対して制御された選択性で、選択的に
(および異方的に)エッチングするために適合され、物
体をSiF4および酸素の反応物ガス流から形成されたプラ
ズマにさらすことを含む。
他の関連観点において、我々の方法は酸化ケイ素下層上
に窒化ケイ素の層を含む物体の窒化ケイ素層成分を、酸
化ケイ素下層に対して制御された高い選択性で、窒化ケ
イ素上に形成されたホトレジストエッチマスクを用いて
プラズマエッチング室中でエッチングする方法であり、
マスクした物体をエッチング室中に配置し、ホトレジス
トに対しおよび酸化物下層に対し高い選択性で窒化ケイ
素をエッチングするNF3、SiF4およびO2を含む選ばれた反
応性ガス混合物を室中へ連通することを含む。
発明の詳細な説明 (1)全工程 本発明は窒化ケイ素を、有機ホトレジストマスクに対す
る高い選択性および酸化物に対する制御された選択性を
伴なって制御された異方性でエッチングするために酸素
含有フッ素化ガス化学種を真空プラズマリアクター中に
用いる。この制御された窒化ケイ素エッチングは簡単な
1段階法であり、それは反復性を高め、物質コストを低
下し、運転員制御を増強する。さらに、酸化物下層に対
する高い選択性はプロセス収量を高め、他の適用に対す
るプロセスの適応を一層容易にする。
好ましい反応性ガス化学種はO2/SiF4、より特定的にはN
F3/O2/SiF4を含む。SiF4は選択性窒化物エッチング剤で
ある(反応A参照)。NF3は主窒化物エッチング剤であ
ることができる(反応B参照)。O2はSiF4からのケイ素
と結合して酸化物下層上に酸化ケイ素含有付着物を選択
的に形成し、従って酸化物層に対する選択性を高める
(反応A参照)。例えば、典型的には窒化ケイ素含有ウ
ェーハの数の増加は窒化物エッチ速度を低下するが、し
かしNF3流量の増加は窒化物エッチ速度を高めることに
より、従って他のパラメーターが一定に保たれる場合で
も増加したウェーハ数に対して補償する。NF3の添加は
プロセスの使用可能範囲、すなわちプロセスウインドウ
を増大する。
(2)プロセス傾向(傾向線) 本発明の適合性および利点は3主ガス反応物NF3、O2およ
びSiF4の流量および流量比の変更によりホトレジストエ
ッチマスクに対するエッチング選択性に適合させ、並び
に酸化ケイ素含有化合物の付着速度の制御およびそれに
よる処理中の酸化物の減少または(増加)を制御するた
めに選んだプロセスガスが使用される能力により示され
る。これらの結果は標準の不変リアクター10(第1図)
を用いて達成される。選ばれるプロセスガスの効果は次
に総括される。
SiF4、NF3およびO2に対して適用できる平衡反応は: 反応A:SiF4+O2SiO2+4 反応B:2NF3N2+6 である。反応Aは、SiO2が析出しフッ素が窒化物エッチ
ング剤であるので選択性機構を支配する。示されるよう
に、反応Bにおいてフッ素が窒化物エッチ速度を高め
る。
窒化物エッチ速度は主窒化物エッチング剤として使用さ
れるSiF4の流量に正比例する。
前記のように、また第2図および第3図に示されるよう
に、NF3の流量の増加は窒化物エッチ速度および酸化物
減少の両方を高める。
酸化物エッチ速度はウェーハ上のホトレジストのカバレ
ージにより影響される。殊に酸化物エッチ速度は一定プ
ロセス条件においてホトレジストにより覆われたウェー
ハの面積に逆比例する。ホトレジストカバレージの減少
/増加はエッチング中の酸化物減少を増大/低下する。
ホトレジストはSiOxの形成および付着を減速または禁止
する酸素ゲッターとして作用し、それは酸化物減少また
は増加、および選択性における鍵因子である。しかし、
後記のように、大面積ホトレジストカバレージを必要と
するIC設計および関連窒化物エッチング段階を酸素流量
の増加により補償することができる。
前記のように、酸化物エッチ/付着速度は全ガス流中の
酸素の割合の変更により影響され、制御される。実際
に、第4図および第5図に示されるように、窒化物エッ
チ速度および酸化物エッチ速度はともに全流の酸素割合
に逆比例する。しかし、酸化物および窒化物エッチ速度
の応答は酸素流量が増加すると異なり、これが選択性機
構を利用する能力に関与する。さらにNF3の添加は選択
性機構の制御に寄与する。
さらに第4図を参照すると、他のガスに関する酸素の流
量の減少/増加もまた酸化物上のSiOx、典型的にはSi
O2、の選択的付着を減少/増加し、従って、エッチング
中の酸化物の減少を低下/増大する。反応A参照。酸素
の流量の増加は酸化物に対する無限選択性(零エッチ速
度)を与え、実際に減少よりはむしろ純酸化物付着また
は増加を与えるように十分に酸化ケイ素付着速度を高め
ることができる。事実上酸素流量比の変更は連続体の速
度から、すなわちスペクトルの一端における高エッチ速
度から零エッチ/付着に、付着まで酸化物エッチ/付着
を選ぶことを可能にする。さらに、我々の酸化物エッチ
/付着速度に対するホトレジストカバレージおよび酸化
物流の異なる効果の理解が、低酸化物エッチ速度および
異なるホトレジストカバレージに対する高い選択性をO2
流量の調整により得ることを可能にした。すなわち、大
面積ホトレジストカバレージを酸素流の増加により補償
することができる。
SiF4はエッチング剤である(窒化物エッチ速度はSiF4
に比例する)。さらに、SiF4流の酸化物エッチ/付着に
対する効果は、酸化物(殊にSiO2)エッチ速度がSiF4
に逆比例する点で、酸素流の効果に類似する。SiF4の流
量の減少/増加は酸化物減少を増大/低下する。
(3)実施例および適用 後表はAME8110低圧イオン補助プラズマリアクターを用
いる二酸化ケイ素上の窒化ケイ素のエッチングに対する
パラメーターの最適値並びに好ましいおよび有用な値の
範囲の総括である。このリアクターはアプライド・マテ
リアルズ社(Applied Materials,Inc.,Santa Clara Cal
ifornia)から入手できる。AME8110およびより一般的に
8100系列の低圧反応性イオンエッチング(RIE)モード
プラズマエッチングリアクターは第1図に系10として略
示される。RIE系10は円筒形反応室11および例示的に24
個のウェーハ、1ファセットに4個、を保持し、RF電源
13に連結された六角形カソード12を含む。排出口14は反
応室11の内部と真空ポンプとの間を連通する。反応室11
の壁および基底板16は系の接地アノードを形成する。ガ
ス源17からの反応性ガスの供給は入口18および室の上部
のガス分配環20への導管装置19を通して室11の内部へ連
通される。
リアクター系10の形状は不対称である。すなわちアノー
ド対カソード比は2:1より多少大きくアノード表面11に
比しカソード表面12の高いエネルギー衝撃を生ずる。そ
のような設計は低い出力密度および良好なエッチ均一性
を与え、室壁からの汚染を低下し、エッチ異方性の達成
を容易にする。さらに、カソード構造配置は全24ウェー
ハをプロセス中に垂直に配向させて粒子に対するウェー
ハの露出を最小化する。
そのようなRIEモード系において、高方向性の機械的イ
オン衝撃エッチ成分が一層等方性の化学成分を支配し、
系のエッチング特性に対し高い異方性を与える。従っ
て、RIEモード系は高密度小特徴サイズIC適用例えばLSI
およびVLSI回路のエッチング製造段階に好ましい。しか
し、AME8110エッチング装置および8100系列エッチング
装置の使用は例示にすぎないと考えるべきである。プロ
セスはまたアプライド・マテリアルズ社(Applied Mate
rials,Inc.,Santa Clara,California)から入手できる8
300系列エッチング装置で良好に使用された。より一般
的に、この方法は主に化学的に駆動され、従って前記ガ
スを取扱うことができるプラズマ状態エッチング系のす
べてに適用されよう。
下表が酸化物下層を損傷することなく窒化ケイ素をエッ
チングするためのプロセスパラメーターの次第に特定的
な有用な範囲を示す。すなわち第1欄は第2欄および第
3欄中に反映されるデータに基く有用な結果を与えるこ
とが期待されるパラメーターの範囲を示す。さらに、こ
の方法は化学的に駆動されるので、任意のプラズマ型
系、例えば表に示されるよりも広い範囲の圧力に対する
適用性を有する。第2欄はより狭く、従って高い窒化ケ
イ素エッチ速度、ホトレジストに対する高い選択性およ
び酸化物下層に対する高い選択性の一層最適の組合せを
与えるプロセスパラメーター範囲の一層特定的な組を示
す。第3欄は高い窒化物エッチ速度、ホトレジストに対
する適当な選択性および酸化物下層に対する非常に高い
選択性の組合せを24個の6インチウェーハ全負荷に対し
て与える点で現在好ましいプロセスパラメーターの特定
的に狭い組を示す。
一般に表は自明である。群として、および示したよう
に、それらには厚さ80〜1000オングストロームの熱成長
二酸化ケイ素層上に形成された厚さ約1000〜3000オング
ストロームの熱LPCVD窒化ケイ素またはプラズマ窒化物
(低圧化学蒸着)の層の、厚さ0.6〜1.5ミクロンのAZ14
70有機ホトレジストマスクを用いたエッチングが含まれ
る。
簡単に記載すると、典型的なエッチング法にはホトレジ
ストマスク/窒化物/酸化物複合体を含む1個またはそ
れ以上のウェーハを8110エッチ系内のファセット上に配
置し、標準洗浄、シーズニング、キャリブレーション段
階後、ガスを示した流量で所与滞留時間適用し、所与室
圧力および電力設定を用いることが含まれる。
示したように結果は全く良好である。ホトレジストに対
する選択性は広い、最適および好ましい全負荷範囲に対
して0:5、1:1および1:1である。熱LPCVD窒化物に関連
する熱酸化物下層に対する選択性は3範囲に対しそれぞ
れ>3:1、>5:1および約15:1である。また熱酸化物下層
に対する選択性はプラズマ窒化物に対しそれぞれ>5:
1、>10:1および約25:1である。我々の方法は優れた線
幅制御および垂直窒化物プロフィルを与え、線幅減を最
小化し、LOCOS熱酸化中の鳥のくちばし侵入(bird's be
ak encrochment)を最小化し、MOSデバイスに対する良
好なチャネル幅精細度を可能にする。全体の均一性は、
従来技術の高選択性対酸化物プロセスで8110を用いる先
行実験に対する±10%に比べて±4%である。さらに、
高い選択性および優れた均一性のために、残留熱酸化物
の均一性は従来技術法により与えられたよりも一層良好
である。
表の第2欄および第3欄に示されるように、NF3、O2およ
びSiF4の適当な流比は酸化物に対する高い選択性の達成
および制御に非常に重要である。また、圧力範囲は異方
性および臨界デイメンションの制御および維持のために
非常に重要である。AME8110リアクター中の圧力の実質
的な増加は臨界デイメンション制御およびおそらくエッ
チ速度の均一性を低下するであろうことが予想される。
表に示されるように、均一性の改良のために不活性ガス
例えばヘリウムをNF3/SiF4/O2反応物ガス組成に加える
ことができる。さらに、不活性ガス例えばヘリウムまた
はアルゴンをスパッタリングの目的に制御量で加えるこ
とができる。さらに、過去の経験はSF6をNF3の代りに、
すなわちNF3と(不揮発性硫黄が表面上に形成できるこ
とを除き)同様に機能させるために用いることができる
ことを示す。また系列CnHyFxXzにより示されるフレオン
含有ガスをホトレジストに対する選択性を高めるために
加えることができる。COまたはCO2を酸素の代りに用い
ることができる(しかし、不利な副作用例えば有機付着
物の形成を防ぐために賢明な選択が必要である)。最後
に、しかし網羅的でなく、窒素含有ガス例えばN2自体、
NO2またはN2Oの置換はエッチングまたは付着の間にケイ
素、窒化ケイ素、二酸化ケイ素、ポリシリコンあるいは
金属導体例えばアルミニウムまたはタングステン上に窒
化ケイ素および(または)オキシ窒化ケイ素の付着を生
ずることができる。
一般に前記方法は、下層またはマスキング酸化ケイ素に
対する高い選択性が必要である場合にフッ素化学種によ
る揮発性生成物を形成する膜のエッチングに容易に適用
できる。従って、SiF4/O2、NF3/SiF4/O2およびNF3/SiF4/
O2/Heを用いる窒化ケイ素のエッチングに加えて、該方
法は二酸化ケイ素下層上に形成されたドープしたまたは
ドープしないポリシリコンの両方の選択的エッチングに
NF3/SiF4/O2/CnHyFxXz反応性ガスを用い;二酸化ケイ素
下層上のタングステンの選択的エッチングにNF3/SiF4/O
2/CnHyFxXz反応性ガスを用い;並びに下層二酸化ケイ素
および(または)二酸化ケイ素マスクに対する高い選択
性で、ドープしたおよびドープしない両単結晶ケイ素の
選択的エッチングにNF3/SiF4/O2を用いて容易に適応で
きる。そのような適用の1つは単結晶ケイ素のトレンチ
エッチングである。また、付着およびエッチバックを用
いるポリシリコンまたはアルミニウム線上のプラズマ二
酸化ケイ素のプレーナ付着に方法を拡大することができ
よう。二酸化ケイ素のプレーナ付着はデバイスパッシベ
ーションに対して使用できる。AME8100およびAME8300反
応性イオンエッチ系のバッチ現場多段階法の能力は1メ
ガビットおよび大埋込みキャパシタ設計の製造のために
本発明に十分に適用できよう。他の適用には多段階制御
法を用いるプロフィル制御に対する有機物質(例えばホ
トレジストおよびポリイミド)の等方性除去が含まれ
る。
【図面の簡単な説明】
第1図は本方法を実施するための適当なプラズマエッチ
ング系の略示であり、 第2図〜第5図は酸化物および窒化物のエッチ速度に対
する種々のガスの効果を示す傾向線を示し、第2図は窒
化物エッチ速度対NF3流、第3図は酸化物エッチ速度対N
F3流、第4図は窒化物エッチ速度対O2流、第5図は酸化
物エッチ速度対O2流のグラフである。 10……プラズマエッチングリアクター、11……反応室、
12……カソード、13……RF電源、17……ガス源、20……
分配環。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭54−89484(JP,A) 特開 昭60−20516(JP,A)

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】酸化ケイ素下層上に窒化ケイ素層を含む物
    体の窒化ケイ素層であってその上に形成されたホトレジ
    ストマスクを有する窒化物層を、酸化ケイ素下層に対す
    る制御された選択性で選択的にエッチングする方法であ
    って、物体をSiF4酸素およびNF3のガス流から形成され
    たプラズマにさらすことを含む方法。
  2. 【請求項2】反応物ガス流がさらにホトレジストに対す
    る選択性を高めるためにフレオン含有ガスを含む、請求
    項(1)記載の方法。
  3. 【請求項3】反応物ガス流がさらにアルゴンおよびヘリ
    ウムからなる群から選ばれた不活性ガスを含む請求項
    (1)記載の方法。
  4. 【請求項4】ホトレジスト、酸化ケイ素に対する高い選
    択性で窒化ケイ素を選択的にエッチングするガス混合物
    であって、SiF4、酸素およびNF3を含むガス混合物。
  5. 【請求項5】下層酸化物層またはマスキング酸化物層に
    比して高い選択性で膜をエッチングする方法であって、
    該膜をエッチングするためのSiF4、酸素及びNF3の混合
    物から形成されたプラズマに該膜をさらし、かつ同時
    に、該プラズマのエッチング成分により、前記下層また
    はマスクの固有のエッチングを相殺するために選ばれた
    速さで、該下層又はマスク上に酸化ケイ素化合物を付着
    させることを含む方法。
  6. 【請求項6】SiF4、酸素及びNF3の混合物がさらにアル
    ゴン及びヘリウムから選ばれる不活性ガスを含む請求項
    (5)記載の方法。
  7. 【請求項7】酸化ケイ素下層上に窒化ケイ素の層を含む
    物体の窒化ケイ素層成分を、窒化ケイ素上に形成された
    ホトレジストエッチマスクを用い、プラズマエッチング
    室中で酸化ケイ素下層に対する制御された選択性で選択
    的にエッチングする方法であって、 マスクした物体をエッチング室内に配置し、前記室中
    へ、NF3、SiF4およびO2を含む反応性ガス混合物を連通
    し、反応性ガス混合物を付勢してホトレジストおよび酸
    化物下層に対する高選択性で窒化ケイ素をエッチングす
    るプラズマを形成する、 ことを含む方法。
  8. 【請求項8】反応性ガス混合物がさらにアルゴンおよび
    ヘリウムから選ばれる不活性ガスを含む、請求項(7)
    記載の方法。
  9. 【請求項9】二酸化ケイ素の膜に対して高選択性でケイ
    素および窒化ケイ素から選ばれる膜を選択的にエッチン
    グするプラズマ法であって、膜をエッチングし、同時に
    酸化物層上にプラズマのエッチング剤成分による酸化物
    層のエッチングの相殺するために選ばれた速さで酸化ケ
    イ素を付着する(i)SiF4;(ii)NF3およびSF6から選
    ばれるフッ素化ガス;および(iii)酸素、COおよびCO2
    から選ばれる酸素化ガスを含むガス混合物から形成され
    たプラズマに膜をさらすことを含むプラズマ法。
  10. 【請求項10】反応物ガス混合物がさらにアルゴンおよ
    びヘリウムから選ばれるガスを含む、請求項(9)記載
    のプラズマ法。
  11. 【請求項11】反応物ガス混合物がさらにNF4、SiF4、酸
    素およびヘリウムを含む、請求項(10)記載の方法。
  12. 【請求項12】二酸化ケイ素に対して高い選択性でケイ
    素または窒化ケイ素をエッチングするガス混合物であっ
    て、(i)SiF4;(ii)NF3およびSF6から選ばれるフッ
    素化ガス;および(iii)酸素、CO及びCO2から選ばれる
    酸素化ガスを含むガス混合物。
  13. 【請求項13】さらに(iv)アルゴンおよびヘリウムか
    ら選ばれるガスを含む、請求項(12)記載のガス混合
    物。
  14. 【請求項14】混合物がNF3、SiF4、酸素およびヘリウム
    を含む、請求項(12)記載のガス混合物。
  15. 【請求項15】酸化ケイ素に対して高い選択性でタング
    ステン含有膜およびポリシリコン膜から選ばれる膜を選
    択的にエッチングするプチズマであって、(i)SiF4
    (ii)NF3及びSF6から選ばれるフッ素化ガス;(iii)
    酸化、COおよびCO2から選ばれる酸素化ガス;および(i
    v)フレオン含有ガスを含むガス流から形成されるプチ
    ズマに膜をさらすことを含むプラズマ。
  16. 【請求項16】酸化ケイ素に対して高い選択性でタング
    ステン含有層およびポリシリコン含有層を選択的にエッ
    チングするガス混合物であって、(i)SiF4;(ii)NF
    3およびSF6から選ばれるフッ素化ガス;(iii)酸化、C
    OおよびCO2から選ばれる酸素化ガス;および(iv)フレ
    オン含有ガスを含むガス混合物。
  17. 【請求項17】NF3、SiF4、酸素およびフレオン含有ガス
    を含む、請求項(16)記載のガス混合物。
  18. 【請求項18】窒化ケイ素の層および酸化ケイ素の下層
    を含む物体の窒化ケイ素層成分をリアクター室内で選択
    的にエッチングする方法であって、窒化ケイ素をエッチ
    ングするために、酸化ケイ素下層のエッチングを抑制す
    るために酸化ケイ素下層の上に酸化ケイ素を付着させ酸
    素流量がSiF4流量の少くとも15%である、SiF4、酸素お
    よびNF3のガス流から形成されるプラズマをリアクター
    内に発生させ、かつ同時に酸化ケイ素下層のエッチング
    を抑制することを含む方法。
  19. 【請求項19】O2/SiF4流量比が約50%である、請求項
    (18)記載の方法。
  20. 【請求項20】O2/SiF4流量比が純酸化物付着を与える
    ために約1/1より大きい、請求項(18)または(19)記
    載の方法。
  21. 【請求項21】窒化物層がホトレジストでマスクされる
    請求項(18)、(19)、または(20)記載の方法。
JP63067977A 1987-03-20 1988-03-22 選択的薄膜エッチング法及びそれに使用するガス混合物 Expired - Lifetime JPH0719774B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28242 1979-04-09
US07/028,242 US4793897A (en) 1987-03-20 1987-03-20 Selective thin film etch process

Publications (3)

Publication Number Publication Date
JPH011236A JPH011236A (ja) 1989-01-05
JPS641236A JPS641236A (en) 1989-01-05
JPH0719774B2 true JPH0719774B2 (ja) 1995-03-06

Family

ID=21842348

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63067977A Expired - Lifetime JPH0719774B2 (ja) 1987-03-20 1988-03-22 選択的薄膜エッチング法及びそれに使用するガス混合物

Country Status (5)

Country Link
US (1) US4793897A (ja)
EP (1) EP0283306B1 (ja)
JP (1) JPH0719774B2 (ja)
AT (1) ATE158110T1 (ja)
DE (1) DE3856022T2 (ja)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5000771A (en) * 1989-12-29 1991-03-19 At&T Bell Laboratories Method for manufacturing an article comprising a refractory dielectric body
US4978420A (en) * 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5279705A (en) * 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
JPH05267249A (ja) * 1992-03-18 1993-10-15 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5338395A (en) * 1993-03-10 1994-08-16 Micron Semiconductor, Inc. Method for enhancing etch uniformity useful in etching submicron nitride features
US5700580A (en) * 1993-07-09 1997-12-23 Micron Technology, Inc. Highly selective nitride spacer etch
US5387312A (en) * 1993-07-09 1995-02-07 Micron Semiconductor, Inc. High selective nitride etch
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US5922622A (en) * 1996-09-03 1999-07-13 Vanguard International Semiconductor Corporation Pattern formation of silicon nitride
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JPH11111686A (ja) * 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US6239011B1 (en) * 1998-06-03 2001-05-29 Vanguard International Semiconductor Corporation Method of self-aligned contact hole etching by fluorine-containing discharges
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
US6069087A (en) * 1998-08-25 2000-05-30 Micron Technology, Inc. Highly selective dry etching process
KR100278996B1 (ko) * 1998-12-18 2001-02-01 김영환 반도체장치의 콘택 형성방법
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
KR100381961B1 (ko) 1999-04-26 2003-04-26 삼성전자주식회사 반도체 기판 상에 질화된 계면을 형성하는 방법
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6270634B1 (en) 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
JP2004273532A (ja) * 2003-03-05 2004-09-30 Hitachi High-Technologies Corp プラズマエッチング方法
KR100640958B1 (ko) * 2004-12-30 2006-11-02 동부일렉트로닉스 주식회사 보호막을 이용한 씨모스 이미지 센서 및 그 제조방법
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
CN102024696B (zh) * 2009-09-11 2012-08-22 中芯国际集成电路制造(上海)有限公司 开口及其形成方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103779203B (zh) * 2012-10-17 2016-11-02 株式会社日立高新技术 等离子蚀刻方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6097192B2 (ja) 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10648087B2 (en) 2015-11-10 2020-05-12 L'Air Liquide, SociétéAnonyme pour l'Exploitation et l'Etude des Procédés Georges Claude Etching reactants and plasma-free etching processes using the same
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102523717B1 (ko) * 2016-05-29 2023-04-19 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6725176B2 (ja) * 2016-10-31 2020-07-15 株式会社日立ハイテク プラズマエッチング方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11257678B2 (en) 2019-04-19 2022-02-22 Hitachi High-Tech Corporation Plasma processing method
KR20240056579A (ko) 2021-09-16 2024-04-30 피피지 인더스트리즈 오하이오 인코포레이티드 펄스형 적외선 방사선의 적용에 의한 코팅 조성물의 경화
WO2024059451A1 (en) 2022-09-16 2024-03-21 Ppg Industries Ohio, Inc. Solvent-borne coating compositions comprising a water-dispersible polyisocyanate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4303467A (en) * 1977-11-11 1981-12-01 Branson International Plasma Corporation Process and gas for treatment of semiconductor devices
US4264409A (en) * 1980-03-17 1981-04-28 International Business Machines Corporation Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US4422897A (en) * 1982-05-25 1983-12-27 Massachusetts Institute Of Technology Process for selectively etching silicon
US4431477A (en) * 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
JPS6020516A (ja) * 1983-07-14 1985-02-01 Tokyo Denshi Kagaku Kabushiki 窒化シリコン膜のドライエツチング方法
JPS6175529A (ja) * 1984-09-21 1986-04-17 Toshiba Corp ドライエツチング方法及び装置
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4568410A (en) * 1984-12-20 1986-02-04 Motorola, Inc. Selective plasma etching of silicon nitride in the presence of silicon oxide
DE3752259T2 (de) * 1986-12-19 1999-10-14 Applied Materials Inc Bromine-Ätzverfahren für Silizium

Also Published As

Publication number Publication date
EP0283306B1 (en) 1997-09-10
DE3856022T2 (de) 1998-02-19
DE3856022D1 (de) 1997-10-16
US4793897A (en) 1988-12-27
EP0283306A3 (en) 1990-07-18
ATE158110T1 (de) 1997-09-15
JPS641236A (en) 1989-01-05
EP0283306A2 (en) 1988-09-21

Similar Documents

Publication Publication Date Title
JPH0719774B2 (ja) 選択的薄膜エッチング法及びそれに使用するガス混合物
JPH011236A (ja) 選択的薄膜エッチング法及びそれに使用するガス混合物
US6277763B1 (en) Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US4613400A (en) In-situ photoresist capping process for plasma etching
US6461974B1 (en) High temperature tungsten etching process
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US4211601A (en) Device fabrication by plasma etching
US4226665A (en) Device fabrication by plasma etching
US4412119A (en) Method for dry-etching
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US5338398A (en) Tungsten silicide etch process selective to photoresist and oxide
US5013398A (en) Anisotropic etch method for a sandwich structure
JP4693416B2 (ja) シリコン含有ハードマスクをパターンエッチングする方法
US20070077724A1 (en) Etching methods and apparatus and substrate assemblies produced therewith
US20070199922A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
EP0721205A2 (en) Method of etching an oxide layer with simultaneous deposition of a polymer layer
US20040058517A1 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US5188980A (en) Inert gas purge for the multilayer poly gate etching improvement
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US5354421A (en) Dry etching method
EP0473344B1 (en) Process for etching a conductive bi-layer structure
EP0820093A1 (en) Etching organic antireflective coating from a substrate
US4479850A (en) Method for etching integrated semiconductor circuits containing double layers consisting of polysilicon and metal silicide
US6069087A (en) Highly selective dry etching process
US20030109138A1 (en) Method of etching tantalum