JPH06283509A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH06283509A
JPH06283509A JP6885593A JP6885593A JPH06283509A JP H06283509 A JPH06283509 A JP H06283509A JP 6885593 A JP6885593 A JP 6885593A JP 6885593 A JP6885593 A JP 6885593A JP H06283509 A JPH06283509 A JP H06283509A
Authority
JP
Japan
Prior art keywords
film
insulating film
gas
oxidizing gas
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6885593A
Other languages
Japanese (ja)
Other versions
JP3256708B2 (en
Inventor
Tadashi Nakano
正 中野
Tomohiro Oota
与洋 太田
Nobuyoshi Sato
伸良 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JFE Steel Corp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Priority to JP06885593A priority Critical patent/JP3256708B2/en
Publication of JPH06283509A publication Critical patent/JPH06283509A/en
Application granted granted Critical
Publication of JP3256708B2 publication Critical patent/JP3256708B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PURPOSE:To form an insulating film, which is good in step coverage and flatness and at the same time, is good also in film quality, when the insulating film of a semiconductor device is formed by a chemical vapor deposition method using organic silane gas as raw gas. CONSTITUTION:When aluminum wirings 13 are formed on an insulating film 12 on a semiconductor substrate 11, a plasma TEOSCVD film 14 is formed thereon and thereafter, the substrate 11 is put in a reaction chamber and an insulating film is formed by a reaction using TEOS gas and ozone gas, a large quantity of an intermediate reaction product, such as an ethanol and a methanol, is produced by inhibiting the generation of oxidizing oxygen in the film-forming initial stage. Thereby, a surface treatment is performed and the ozone- TEOSCVDNSG film 15, which is improved its step coverage and flatness and at the same time, is inhibited also the generation of voids, can be formed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造方法、
特に半導体基体および金属配線の上の1次絶縁膜、多層
金属配線間の層間絶縁膜およびパッシベーション膜とし
て作用する最終絶縁膜や金属配線のサイドウォールまた
は電界効果トランジスタのゲート電極のサイドウォール
として使用することができる絶縁膜を有機ケイ素化合物
を原料ガスとして用いる化学気相成長により形成する方
法に関するものである。
BACKGROUND OF THE INVENTION The present invention relates to a method of manufacturing a semiconductor device,
In particular, it is used as a primary insulating film on a semiconductor substrate and a metal wiring, an interlayer insulating film between multi-layer metal wirings, a final insulating film acting as a passivation film, a sidewall of a metal wiring, or a sidewall of a gate electrode of a field effect transistor. The present invention relates to a method for forming an insulating film that can be formed by chemical vapor deposition using an organosilicon compound as a source gas.

【0002】[0002]

【従来の技術】近年、VLSIデバイスの高集積化、高密度
化が急速に進み、半導体加工技術はサブミクロン加工が
必須のものとなってきている。サブミクロン加工が進む
に伴って半導体基体表面の凹凸はますます激しくなり、
アスペクト比が大きくなり、この凹凸がデバイス製造上
の制約となってきている。このような問題の解決のため
に最も強く望まれているのが、多層金属配線間の形成さ
れる層間絶縁膜の平坦化技術である。
2. Description of the Related Art In recent years, high integration and high density of VLSI devices have been rapidly advanced, and submicron processing has become essential in semiconductor processing technology. As the submicron processing progresses, the unevenness of the semiconductor substrate surface becomes more and more intense,
The aspect ratio becomes large, and this unevenness becomes a constraint in device manufacturing. What is most strongly desired for solving such a problem is a technique for flattening an interlayer insulating film formed between multi-layer metal wirings.

【0003】サブミクロンデバイス用の層間絶縁膜に要
求される特性としては、サブミクロンオーダーのスペー
スを形成することおよび1以上の高アスペクト比を持つ
パターンに対する優れたステップカバレージを実現する
ことなどがある。このような要求を満たす層間絶縁膜の
形成方法として有機シランを原料ガスに用いた化学気相
成長法(CVD法) が知られている。また、CVD 法としては
プラズマCVD 、常圧CVD 法、減圧CVD 法、加圧CVD 法、
光励起CVD 法などが従来より提案されている。
Characteristics required for an interlayer insulating film for submicron devices include forming a space on the order of submicron and realizing excellent step coverage for a pattern having a high aspect ratio of 1 or more. . A chemical vapor deposition method (CVD method) using organic silane as a raw material gas is known as a method of forming an interlayer insulating film that satisfies such requirements. As the CVD method, plasma CVD, atmospheric pressure CVD method, low pressure CVD method, pressure CVD method,
The photo-excited CVD method has been proposed in the past.

【0004】これらの内、有機シラン系化合物を原料ガ
スとし、これにオゾンガスを反応ガスとして加えて常圧
CVD 法で形成した絶縁膜、すなわち常圧オゾン−有機シ
ランCVD シリコン酸化膜は、その平坦性が特に優れてい
ることから最も期待されている方法の一つである。この
ようなオゾンおよび有機シラン系化合物の混合ガスを原
料ガスとして用いる常圧CVD 法は、例えば特開昭61-776
95号公報や「電気化学」56, No.7(1988), 527 〜532 頁
などに記載されている。また、有機シラン系化合物とし
てはTEOS(tetraethoxysilane), OMCTS(octamethylcyclo
tetrasiloxane), HMDS(hexamethyldisiloxane),TMCTS
(tetramethylcyclotetrasiloxane), SOB(trimethylsily
l borate), DADBS(diacetoxydi-tertiary-butoxysilan
e), SOP(trimethylsilyl phosphate) などが知られてい
る。
Of these, an organic silane compound is used as a raw material gas, and ozone gas is added as a reaction gas to this at atmospheric pressure.
The insulating film formed by the CVD method, that is, the atmospheric pressure ozone-organosilane CVD silicon oxide film is one of the most expected methods because of its excellent flatness. An atmospheric pressure CVD method using such a mixed gas of ozone and an organic silane compound as a raw material gas is disclosed in, for example, JP-A-61-776.
No. 95, “Electrochemistry” 56, No. 7 (1988), pages 527 to 532, etc. In addition, as organic silane compounds, TEOS (tetraethoxysilane), OMCTS (octamethylcyclo
tetrasiloxane), HMDS (hexamethyldisiloxane), TMCTS
(tetramethylcyclotetrasiloxane), SOB (trimethylsily
l borate), DADBS (diacetoxydi-tertiary-butoxysilan
e) and SOP (trimethylsilyl phosphate) are known.

【0005】また、半導体装置の最終保護膜として用い
られる絶縁膜においても、VLSIデバイスの高集積化、高
密度化に伴い、その平坦性と、素子の信頼性に影響を与
える膜質の向上が強く要求されている。これは主に最終
配線の側壁からの水分等の侵入を防ぐためである。
Further, in the insulating film used as the final protective film of the semiconductor device, the flatness and the film quality which influences the reliability of the element are strongly improved due to the higher integration and higher density of the VLSI device. Is required. This is mainly to prevent intrusion of moisture or the like from the side wall of the final wiring.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、有機シ
ラン系化合物を原料ガスとするCVD 法によって絶縁膜を
形成する従来の半導体装置の製造方法においては、成膜
速度や膜質の下地依存性が大きく、ステップカバレージ
が悪くなるとともにボイドが発生するという欠点があ
る。例えば、層間絶縁膜を形成する場合、下地絶縁膜の
上での成膜速度は遅く、アルミ配線の上での成膜速度が
速く、配線間への回り込みが少ないので配線間が埋まら
ない内に上部が塞がれてしまう結果、アルミ配線間に大
きなボイドが形成されてしまう欠点がある。このように
有機シラン系化合物を原料ガスとするCVD 膜が大きな下
地依存性を有することは、例えば特開昭61-77695号公報
や平成3年に発行された「電気学会論文A」, 111 巻7
号の652 〜658 頁に記載されている。このようにボイド
が形成されると、層間絶縁膜にクラックが発生し、配線
間のリーク電流が増加したり、応力によって配線間のス
ペースが変化し、素子特性に悪影響を及ぼすことにな
る。
However, in the conventional method for manufacturing a semiconductor device in which an insulating film is formed by a CVD method using an organosilane compound as a raw material gas, the film formation rate and the film quality are largely dependent on the underlying layer. There is a drawback that the step coverage becomes worse and a void is generated. For example, when forming an interlayer insulating film, the film forming speed on the underlying insulating film is slow, the film forming speed on the aluminum wiring is fast, and the wraparound between the wirings is small, so that the space between the wirings is not filled. As a result of the upper part being blocked, a large void is formed between aluminum wirings. Thus, the fact that a CVD film using an organic silane-based compound as a raw material gas has a large dependency on the underlayer is described in, for example, Japanese Patent Laid-Open No. 61-77695 and "The Institute of Electrical Engineers of Japan", Volume 111. 7
Issue, pages 652-658. When the voids are formed in this way, cracks occur in the interlayer insulating film, the leak current between the wirings increases, and the space between the wirings changes due to stress, which adversely affects the element characteristics.

【0007】上述した従来の半導体装置の製造方法の欠
点を軽減するために、絶縁膜を多層構造とすることが提
案されている。例えば、下地依存性を緩和するために下
地表面にプラズマCVD 法によりTEOSとO2とを原料として
酸化膜を薄く(通常3000Å以下)形成し、その後オゾン
−TEOS 常圧CVD 法によって平坦性に優れたNSG (non-d
oped silicate glass)膜を形成することが提案されてい
る。しかし、この方法でもサブミクロンデバイスにおい
ては配線と配線とのスペースがきわめて狭く、しかもア
スペクト比が1以上と大きな配線段階を埋め込むことは
できない欠点がある。さらに、SOG(Spin On Glass)を用
いて配線段差を埋め込むことも提案されているが、SOG
を用いると、配線間の下部からのガス(H2Oなど) が抜け
ず、半導体装置の信頼性に悪影響を及ぼす欠点がある。
In order to alleviate the above-mentioned drawbacks of the conventional semiconductor device manufacturing method, it has been proposed that the insulating film has a multilayer structure. For example, in order to alleviate the dependency on the substrate, a thin oxide film (usually 3000 Å or less) is formed on the surface of the substrate by plasma CVD using TEOS and O 2 as raw materials, and then ozone-TEOS atmospheric pressure CVD is used to achieve excellent flatness. NSG (non-d
It has been proposed to form an oped silicate glass) film. However, even with this method, the space between wirings is extremely narrow in a submicron device, and further, a wiring step having a large aspect ratio of 1 or more cannot be embedded. Furthermore, it is also proposed to fill the wiring step using SOG (Spin On Glass), but SOG
When used, the gas (H 2 O, etc.) from the lower portion between the wirings does not escape, and there is a drawback that the reliability of the semiconductor device is adversely affected.

【0008】本発明の目的は上述した従来の絶縁膜形成
方法の欠点を解消し、ステップカバレージおよび平坦性
に優れており、特にサブミクロンデバイスの絶縁膜とし
て使用するのに有効であるとともに優れた膜質を有し、
クラックやボイドの発生もない絶縁膜の形成することが
でき、したがって信頼性の高い半導体装置を製造するこ
とができる方法を提供しようとするものである。
The object of the present invention is to solve the above-mentioned drawbacks of the conventional insulating film forming method and to have excellent step coverage and flatness, and in particular, it is effective as an insulating film for submicron devices and excellent. It has a film quality,
An object of the present invention is to provide a method capable of forming an insulating film without generation of cracks or voids, and thus manufacturing a highly reliable semiconductor device.

【0009】[0009]

【課題を解決するための手段】本発明による半導体装置
の製造方法は、半導体装置の絶縁膜を有機シランおよび
酸化性ガスを用いる化学気相成長によって形成するに当
たり、成膜の初期または途中で酸化性ガスによる反応を
抑制することを特徴とするものである。
According to the method of manufacturing a semiconductor device of the present invention, when the insulating film of the semiconductor device is formed by chemical vapor deposition using organic silane and an oxidizing gas, oxidation is performed at the initial stage or during the film formation. It is characterized in that it suppresses the reaction caused by the volatile gas.

【0010】本発明の好適実施例においては、前記酸化
性ガスによる反応の抑制は、酸化性ガスの濃度を低下さ
せて実施することができる。また、この酸化性ガスの濃
度の低下は、反応チャンバへ供給する酸化性ガスの流量
を減少させたり、酸化性ガスと反応し得る還元性ガスを
反応チャンバへ供給することによって実施することがで
きる。さらに、前記酸化性ガスによる反応の低下は、半
導体基板の温度を、酸化性ガスの熱分解を抑制する温度
まで低下させることによって実施することができる。
In a preferred embodiment of the present invention, the suppression of the reaction by the oxidizing gas can be carried out by lowering the concentration of the oxidizing gas. The concentration of the oxidizing gas can be reduced by reducing the flow rate of the oxidizing gas supplied to the reaction chamber or supplying a reducing gas capable of reacting with the oxidizing gas to the reaction chamber. . Further, the reduction of the reaction due to the oxidizing gas can be carried out by lowering the temperature of the semiconductor substrate to a temperature at which the thermal decomposition of the oxidizing gas is suppressed.

【0011】本発明においては、前記有機シランとして
は、例えば以下のようなものを用いることができる。 テトラアルコキシシラン( オルトケイ酸エステル):テト
ラメトキシシラン(TEOS)、テトラエトキシシラン(TEO
S)、テトラnプロポキシシラン、テトライソプロポキシ
シラン、テトラnブトキシシラン アルキルアルコキシシラン:メチルトリメトキシシラ
ン、メチルトリエトキシシラン、メチルnプロポキシシ
ラン、メチルイソプロポキシシラン、エチルトリメトキ
シシラン、エチルトリエトキシシラン、エチルトリnプ
ロポキシシラン、エチルトリイソプロポキシシラン、ビ
ニルトリメトキシシラン、ビニルトリエトキシシラン、
フェニルトリメトキシシラン ジメチルジメトキシシラン、ジメチルジエトキシシラ
ン、ジエチルジメトキシシラン、ジエチルジエトキシシ
ラン、ジエチルジnプロポキシシラン、ジエチルジイソ
プロポキシシラン、メチルビニルジメトキシシラン、メ
チルビニルジエトキシシラン メチルジメトキシシラン、メチルジエトキシシラン ジメチルビニルメトキシシラン、ジメチルビニルエトキ
シシラン ポリシロキサン:テトラキス(ジメチルシロキシ)シラ
ン シクロシキサン:オクタメチルシクロテトラシロキサン
(OMCTS) 、ペンタメチルシクロテトラシロキサン、テト
ラメチルシクロテトラシロキサン、ヘキサメチルシクロ
トリシロキサン、トリメチルシクロトリシロキサン ジシロキサン:ヘキサメチルジシロキサン(HMDS)、テト
ラメチルジメトキシジシロキサン、ジメチルテトラメト
キシジシロキサン、ヘキサメトキシジシロキサン アルキルシラン:モノメチルシラン、ジメチルシラン、
トリメチルシラン、トリエチルシラン、テトラメチルシ
ラン、テトラエチルシラン、アリルトリメチルシラン、
ヘキサメチルジシラン シリルアミン:ジメチルトリメチルシリルアミン、ジエ
チルトリメチルシリルアミン シラン窒素誘導体:アミノプロピルトリエトキシシラ
ン、トリメチルシリルアジド、トリメチルシリルシアナ
イド シラザン:ヘキサメチルジシラザン、テトラメチルジシ
ラザン、オクタメチルシクロテトラシラザン、ヘキサメ
チルシクロトリシラザン ハロゲン化シランおよび誘導体:トリメチルクロロシラ
ン、トリエチルクロロシラン、トリnプロピルクロロシ
ラン、メチルジクロロシラン、ジメチルクロロシラン、
クロロメチルジメチルクロロシラン、クロロメチルトリ
メチルシラン、クロロプロピルメチルジクロロシラン、
クロロプロピルトリメトキシシラン、ジメチルジクロロ
シラン、ジエチルジクロロシラン、メチルビニルジクロ
ロシラン、メチルトリクロロシラン、エチルトリクロロ
シラン、ビニルトリクロロシラン、トリフロロプロピル
トリクロロシラン、トリフロロプロピルトリメトキシシ
ラン、トリメチルシリルアイオダイド さらに、有機シランしては、トリス(トリメチルシロキ
シ)ボラン(SOB) 、トリス(トリメチルシロキシ)ホス
ホリル(SOP) 、ジアセトキシ-tert-ブトキシシラン(DAD
BS) などを用いることもできる。本発明においては、上
述した有機シランを単独で用いるかあるいは2以上の有
機シランを混合して用いることができる。混合して用い
る場合の混合割合は適当に定めれば良い。また、気相化
学成長法としては常圧熱CVD 法、減圧熱CVD 法、プラズ
マCVD 法、光CVD 法、オゾンCVD 法などを用いることが
でき、酸化性ガスとしては、酸素ガス、オゾンガスを0.
1 〜7 重量%含む酸素ガス、オゾンガスなどを用いるこ
とができる。
In the present invention, the following organic silanes can be used, for example. Tetraalkoxysilane (orthosilicate ester): Tetramethoxysilane (TEOS), Tetraethoxysilane (TEO)
S), tetra-n-propoxysilane, tetra-iso-propoxysilane, tetra-n-butoxysilane alkylalkoxysilane: methyltrimethoxysilane, methyltriethoxysilane, methyl-n-propoxysilane, methylisopropoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane , Ethyltri-n-propoxysilane, ethyltriisopropoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane,
Phenyltrimethoxysilane dimethyldimethoxysilane, dimethyldiethoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, diethyldi-n-propoxysilane, diethyldiisopropoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane methyldimethoxysilane, methyldiethoxy Silane Dimethylvinylmethoxysilane, Dimethylvinylethoxysilane Polysiloxane: Tetrakis (dimethylsiloxy) silane Cyclosixane: Octamethylcyclotetrasiloxane
(OMCTS), pentamethylcyclotetrasiloxane, tetramethylcyclotetrasiloxane, hexamethylcyclotrisiloxane, trimethylcyclotrisiloxane disiloxane: hexamethyldisiloxane (HMDS), tetramethyldimethoxydisiloxane, dimethyltetramethoxydisiloxane, hexa Methoxydisiloxane Alkylsilane: monomethylsilane, dimethylsilane,
Trimethylsilane, triethylsilane, tetramethylsilane, tetraethylsilane, allyltrimethylsilane,
Hexamethyldisilane silylamine: dimethyltrimethylsilylamine, diethyltrimethylsilylamine Silane nitrogen derivative: aminopropyltriethoxysilane, trimethylsilylazide, trimethylsilylcyanide silazane: hexamethyldisilazane, tetramethyldisilazane, octamethylcyclotetrasilazane, hexamethylcyclotriazane Silazane halogenated silanes and derivatives: trimethylchlorosilane, triethylchlorosilane, tri-n-propylchlorosilane, methyldichlorosilane, dimethylchlorosilane,
Chloromethyldimethylchlorosilane, chloromethyltrimethylsilane, chloropropylmethyldichlorosilane,
Chloropropyltrimethoxysilane, dimethyldichlorosilane, diethyldichlorosilane, methylvinyldichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, vinyltrichlorosilane, trifluoropropyltrichlorosilane, trifluoropropyltrimethoxysilane, trimethylsilyliodide In addition, organic As silanes, tris (trimethylsiloxy) borane (SOB), tris (trimethylsiloxy) phosphoryl (SOP), diacetoxy-tert-butoxysilane (DAD)
BS) can also be used. In the present invention, the above-mentioned organic silanes may be used alone, or two or more organic silanes may be mixed and used. When mixed and used, the mixing ratio may be set appropriately. Further, as the vapor phase chemical growth method, an atmospheric pressure thermal CVD method, a reduced pressure thermal CVD method, a plasma CVD method, an optical CVD method, an ozone CVD method, or the like can be used, and as the oxidizing gas, oxygen gas or ozone gas can be used. .
Oxygen gas, ozone gas, etc. containing 1 to 7 wt% can be used.

【0012】[0012]

【作用】このような本発明による半導体装置の製造方法
によれば、有機シランおよび酸化性ガスを用いる化学気
相成長によって絶縁膜を形成するに当たり、成膜の初期
または途中で酸化性ガスによる反応を抑制することによ
ってエタノール、メタノールなどが中間反応生成物とし
て多く生成されるようになり、この中間生成物によって
半導体ウエファの表面が下地処理されることになるの
で、絶縁膜の下地依存性が緩和され、段差への埋め込み
性および平坦性に優れているとともにボイドの発生もな
く、水分の含有量も少ない優れた膜質の絶縁膜を形成す
ることができる。このように酸化性ガスによる反応を抑
制することによって段差間への埋め込み性が良好で膜質
の良好な絶縁膜が形成される理由は明確には解明できて
いないが、良好な結果が得られることは実験的に確認さ
れている。
According to the method of manufacturing a semiconductor device according to the present invention, when an insulating film is formed by chemical vapor deposition using an organic silane and an oxidizing gas, a reaction by the oxidizing gas occurs at the initial stage or during the film formation. By suppressing this, a large amount of ethanol, methanol, etc. are generated as intermediate reaction products, and the surface of the semiconductor wafer is subjected to undercoating by this intermediate product, so the underlayer dependence of the insulating film is relaxed. Thus, it is possible to form an insulating film having an excellent film quality that is excellent in burying property in a step and flatness, does not generate a void, and has a low water content. Although the reason why an insulating film with good film quality and good embedding between steps can be formed by suppressing the reaction due to the oxidizing gas in this way has not been clarified, but good results can be obtained. Has been confirmed experimentally.

【0013】有機シランと酸化性ガスとの反応による化
学気相成長によって絶縁膜を成膜する場合のメカニズム
を以下考察する。ここでは、説明の便宜上、有機シラン
としてTEOSを用いる、酸化性ガスとしてオゾンを5重量
%程度含む酸素を用いるものとする。反応チャンバに供
給されたオゾンは、
The mechanism of forming an insulating film by chemical vapor deposition by the reaction of organic silane and an oxidizing gas will be considered below. Here, for convenience of explanation, it is assumed that TEOS is used as the organic silane and oxygen containing about 5% by weight of ozone is used as the oxidizing gas. The ozone supplied to the reaction chamber is

【化1】O3→ O + O2 に示すように反応性酸素と、酸素ガスとに分解される。
このようにして生成される反応性酸素がTEOSと以下のよ
うに反応するが、この過程において下地表面に酸化ケイ
素が生成され、半導体ウエファ上に絶縁膜として成膜さ
れて行く。
## STR1 ## As shown in O 3 → O + O 2 , it is decomposed into reactive oxygen and oxygen gas.
The reactive oxygen thus generated reacts with TEOS as follows. In this process, silicon oxide is generated on the surface of the base and is deposited as an insulating film on the semiconductor wafer.

【化2】 すなわち、TEOSは反応性酸素と反応してエタノール、メ
タノールなどのアルコールや、アセトアルデヒド、ホル
ムアルデヒドなどのアルデヒドや、酢酸や蟻酸などのカ
ルボン酸が中間生成物として生成され、最終的には一酸
化炭素、二酸化炭素および水となる。通常の気相成長に
おいては、酸化ケイ素の成膜を効率良く行うために十分
な反応性酸素を発生させており、したがって上述した中
間生成物の寿命は短いものである。本発明においては、
上述したように成膜の初期または途中において、反応性
酸素の量を減少させることによって上述した中間生成物
の寿命を長くし、多量の中間生成物が半導体ウエファの
下地表面に作用するようにして下地依存性を軽減するも
のである。これらの中間生成物の内では、特に最初に生
成されるエタノールやメタノールのようなアルコールが
下地依存性の軽減に有効に作用するものであると予想さ
れるが、他の中間生成物も作用している可能性はある。
[Chemical 2] That is, TEOS reacts with reactive oxygen to produce alcohols such as ethanol and methanol, aldehydes such as acetaldehyde and formaldehyde, and carboxylic acids such as acetic acid and formic acid as intermediate products, and finally carbon monoxide, It becomes carbon dioxide and water. In normal vapor phase growth, sufficient reactive oxygen is generated to efficiently form a silicon oxide film, and therefore the above-mentioned intermediate product has a short life. In the present invention,
As described above, the life of the above-mentioned intermediate product is lengthened by decreasing the amount of reactive oxygen during the initial stage or in the middle of the film formation so that a large amount of the intermediate product acts on the underlying surface of the semiconductor wafer. It is to reduce the background dependency. Among these intermediate products, it is expected that alcohols such as ethanol and methanol which are initially formed are effective in reducing the dependency on the substrate, but other intermediate products are also effective. There is a possibility that

【0014】[0014]

【実施例】(実施例1)図1に示すようにシリコン基板
11の上に膜厚が6000ÅのBPSG膜12を形成し、さらにその
上に高さ1μm のアルミ配線13をライン巾0.5 μm 、ス
ペース巾0.5 μm で形成し、このBPSG膜およびアルミ配
線の上にプラズマ−TEOS CVD NSG膜14を3000Åの厚さに
形成した。このプラズマ−TEOS CVD NSG膜14の成膜条件
としては、成膜温度を350℃、成膜圧力を2.2Torr と
し、TEOSを1.8ml/分の割合で供給し、酸素ガスを4.0ml/
分の割合で供給し、RFパワーとしては400KHz, 500Wと1
3.56MHz, 500Wの合計1KWを使用し、成膜時間を20秒と
した。このプラズマ−TEOS CVDNSG膜14の膜厚はアルミ
配線13の上で3000Åであるが、その側壁には1000Å程度
しか形成されていない。
EXAMPLES Example 1 Silicon substrate as shown in FIG.
A BPSG film 12 with a thickness of 6000Å is formed on 11 and an aluminum wiring 13 with a height of 1 μm is formed on it with a line width of 0.5 μm and a space width of 0.5 μm, and on this BPSG film and aluminum wiring. A plasma-TEOS CVD NSG film 14 was formed to a thickness of 3000Å. As conditions for forming the plasma-TEOS CVD NSG film 14, the film forming temperature was 350 ° C., the film forming pressure was 2.2 Torr, TEOS was supplied at a rate of 1.8 ml / min, and oxygen gas was 4.0 ml / min.
The RF power is 400KHz, 500W and 1
A total of 1 kW of 3.56 MHz and 500 W was used, and the film formation time was 20 seconds. The thickness of the plasma-TEOS CVD NSG film 14 is 3000 Å on the aluminum wiring 13, but only about 1000 Å is formed on the side wall thereof.

【0015】次に、シリコンウエファを化学気相成長を
行う反応チャンバ内に搬入し、以下の成膜条件でオゾン
−TEOS CVD NSG膜15を10000 Åの膜厚に形成した。すな
わち、この実施例においては、有機シランとしてTEOSを
用い、酸化性ガスとしてオゾンを用いるオゾン−TEOS C
VDでSiO2膜を形成するに当たり、成膜の初期の60秒の期
間には、還元性ガス、すなわちオゾンキラーとして作用
するプロピレン(C3H6)を、窒素ガスで2%に希釈したガ
スを7リットル/分の流量で供給することによって酸化
性ガスによる反応を抑制するようにしたものである。他
の条件は以下に示す通りであるが、この明細書では、ガ
ス流量は0℃、1気圧の標準状態での流量を示すもので
ある。
Next, the silicon wafer was carried into a reaction chamber for chemical vapor deposition, and an ozone-TEOS CVD NSG film 15 was formed to a film thickness of 10,000 Å under the following film forming conditions. That is, in this example, TEOS is used as the organosilane and ozone is used as the oxidizing gas.
In forming the SiO 2 film by VD, a reducing gas, that is, propylene (C 3 H 6 ) which acts as an ozone killer, was diluted to 2% with nitrogen gas during the initial 60 seconds of film formation. Is supplied at a flow rate of 7 liters / minute to suppress the reaction due to the oxidizing gas. Other conditions are as shown below, but in this specification, the gas flow rate indicates the flow rate in the standard state of 0 ° C. and 1 atm.

【表1】 成膜温度 400 ℃ 成膜圧力 大気圧 成膜時間 545 秒 ガスバブラへの窒素ガス流量 1.5 l/min 恒温槽温度 65 ℃ オゾン発生装置への酸素流量 7.5 l/min オゾン濃度 5 重量% キャリアN2ガス流量 18 l/min このようにして形成したオゾン−TEOS CVD NSG膜15はア
ルミ配線13間の狭いスペースを埋め、良好なステップカ
バレージを有しているとともに平坦性も優れており、ボ
イドも形成されていない良好の膜質を有するものであっ
た。
[Table 1] Film formation temperature 400 ℃ Film formation pressure Atmospheric pressure Film formation time 545 seconds Nitrogen gas flow to gas bubbler 1.5 l / min Constant temperature bath temperature 65 ℃ Oxygen flow to ozone generator 7.5 l / min Ozone concentration 5% by weight Carrier N 2 gas flow rate 18 l / min Ozone-TEOS CVD NSG film 15 thus formed fills a narrow space between aluminum wirings 13, has good step coverage, and has excellent flatness, It had a good film quality with no voids formed.

【0016】(比較例1)比較例1として図2に示すよ
うにシリコン基板11の上にBPSG膜12を形成し、さらにそ
の上にアルミ配線13を形成し、BPSG膜およびアルミ配線
上にプラズマ−TEOS CVD NSG 膜14を3000Åの厚さに形
成した。ここまでは上述した実施例1と同様であり、ア
ルミ配線13のライン巾、スペースおよび高さも実施例1
と同様である。その後、反応チャンバ内に入れ、還元性
ガスであるプロピレンを用いることなく、実施例1と同
様の成膜条件でオゾン−TEOS CVD NSG膜16を10000 Åの
厚さに形成した。比較例1ではオゾン−TEOS CVD NSG膜
16のアルミ配線13間の埋め込みが不良であって多数のボ
イド17が形成されており、素子特性を劣化させるもので
あった。
Comparative Example 1 As Comparative Example 1, as shown in FIG. 2, a BPSG film 12 is formed on a silicon substrate 11, an aluminum wiring 13 is further formed thereon, and plasma is formed on the BPSG film and the aluminum wiring. -TEOS CVD NSG film 14 was formed to a thickness of 3000 Å. The process up to this point is the same as in the first embodiment described above, and the line width, space, and height of the aluminum wiring 13 are also the same as in the first embodiment.
Is the same as. Then, it was placed in a reaction chamber and an ozone-TEOS CVD NSG film 16 was formed to a thickness of 10000 Å under the same film forming conditions as in Example 1 without using propylene as a reducing gas. In Comparative Example 1, ozone-TEOS CVD NSG film
The embedding between the 16 aluminum wirings 13 was defective and many voids 17 were formed, which deteriorated the device characteristics.

【0017】(実施例2)この実施例においても、シリ
コン基板の上にアルミ配線を形成し、さらにその上にプ
ラズマ−TEOS CVD NSG膜を形成した後、シリコンウエフ
ァを反応チャンバへ搬入する工程までは、上述した実施
例1と同様である。本例においては、シリコンウエファ
の加熱温度を、オゾンの熱分解を抑制する温度、例えば
350 ℃に最初設定しておき、成膜開始から50秒後に3秒
の時間内で400 ℃に昇温した。その他の条件は実施例1
および2と同様とした。このように、成膜の初期におけ
るシリコンウエファの温度を低下させることによってオ
ゾンの熱分解が抑制され、その結果として反応性の酸素
の発生が抑制され、上述したように下地処理が行われ、
埋め込み性および平坦性に優れているとともにボイドの
ない優れた膜質のオゾン-TEOS CVD NSG 膜を形成するこ
とができる。この実施例のようにシリコンウエファの温
度を急激に上昇させるには、通常の抵抗加熱では困難で
あるので、本例においては、ランプ加熱法を利用した。
(Embodiment 2) Also in this embodiment, until aluminum wiring is formed on a silicon substrate, a plasma-TEOS CVD NSG film is further formed thereon, and then a silicon wafer is carried into a reaction chamber. Is the same as in the first embodiment described above. In this example, the heating temperature of the silicon wafer is set to a temperature that suppresses thermal decomposition of ozone, for example,
The temperature was initially set to 350 ° C., and 50 seconds after the start of film formation, the temperature was raised to 400 ° C. within 3 seconds. Other conditions are Example 1
And the same as 2. Thus, the thermal decomposition of ozone is suppressed by lowering the temperature of the silicon wafer in the initial stage of film formation, and as a result, the generation of reactive oxygen is suppressed, and the base treatment is performed as described above.
It is possible to form an ozone-TEOS CVD NSG film with excellent film quality that is excellent in embedding property and flatness and has no void. Since it is difficult to raise the temperature of the silicon wafer abruptly by ordinary resistance heating as in this example, the lamp heating method was used in this example.

【0018】(実施例3)本例においても前例と同様に
してプラズマTEOS CVD NSG膜をアルミ配線の上に形成し
た後、反応チャンバへ搬入する工程までは前例と同様で
ある。上述した実施例では、オゾンの濃度は、供給酸素
に対して常に5重量%となるようにしたが、本例におい
ては成膜開始後50秒間は2重量%と少なくし、その後約
10秒間で5重量%まで上昇させたものである。このよう
にして、成膜初期の段階でのオゾン濃度を低くして反応
性酸素の発生量を少なくすることができ、その結果とし
て反応中間生成物の多量に発生させ、これによってシリ
コンウエファの表面を処理し、段差への埋め込み性が良
好で、平坦性にも優れているとともにボイドの発生もな
い優れた膜質のオゾン-TEOS CVD NSG 膜を形成すること
ができる。
(Embodiment 3) Also in this embodiment, the steps up to the step of forming the plasma TEOS CVD NSG film on the aluminum wiring and then carrying it into the reaction chamber are the same as in the previous example. In the embodiment described above, the concentration of ozone is set to be always 5% by weight with respect to the supply oxygen, but in this example, it is reduced to 2% by weight for 50 seconds after the start of film formation, and then about 5% by weight.
It was increased to 5% by weight in 10 seconds. In this way, the ozone concentration at the early stage of film formation can be lowered to reduce the amount of reactive oxygen generated, and as a result, a large amount of reaction intermediate products are generated, which causes the surface of the silicon wafer to be generated. Can be used to form an ozone-TEOS CVD NSG film of excellent film quality, which has good burying properties in steps, excellent flatness and no voids.

【0019】本発明は上述した実施例にのみ限定される
ものではなく、幾多の変更や変形が可能である。有機シ
ランとしては、上述したTEOSのみに限定されるものでは
なく、上述したように種々の有機シランを用いることが
できる。また、酸化性ガスととしては上述したオゾンに
のみ限定されるものではなく、例えば過酸化水素を用い
ることもできる。さらに、上述した実施例ではアルミ配
線の上にプラズマ-TEOS CVD NSG 膜を形成し、その上に
オゾン-TEOS CVD NSG 膜を成膜するようにしたが、プラ
ズマ-TEOS CVD NSG 膜の代わりに他の絶縁膜を形成して
も良いし、またプラズマ-TEOS CVD NSG 膜を省略しても
良い。また、上述した実施例においては、層間絶縁膜を
形成するようにしたが、第1層絶縁膜や最終絶縁膜を形
成することもできる。
The present invention is not limited to the above-mentioned embodiments, but various modifications and variations are possible. The organic silane is not limited to the TEOS described above, and various organic silanes can be used as described above. Further, the oxidizing gas is not limited to ozone described above, and hydrogen peroxide, for example, may be used. Further, in the above-described embodiment, the plasma-TEOS CVD NSG film is formed on the aluminum wiring, and the ozone-TEOS CVD NSG film is formed thereon. However, instead of the plasma-TEOS CVD NSG film, another film is formed. The insulating film may be formed, or the plasma-TEOS CVD NSG film may be omitted. Further, although the interlayer insulating film is formed in the above-described embodiments, the first layer insulating film and the final insulating film can be formed.

【0020】[0020]

【発明の効果】上述したように、本発明による半導体装
置の製造方法によれば、半導体ウエファの表面に有機シ
ランを用いる化学気相成長によって絶縁膜を形成するに
当たり、絶縁膜の下地依存性を軽減することができ、段
差への埋め込み性および平坦性に優れているとともにボ
イドの発生もなく、水分の含有量も少ない優れた膜質の
絶縁膜を形成することができる。したがって、このよう
にして形成される半導体装置の信頼性を向上することが
できる。また、本発明を実施するに当たっては、酸化性
ガスによる反応を抑制するという簡単な工程を従来の気
相成長工程に付加するだけで良いので、製造工程は簡単
となり、スループットも改善されることになる。
As described above, according to the method for manufacturing a semiconductor device of the present invention, when forming an insulating film on the surface of a semiconductor wafer by chemical vapor deposition using organic silane, the underlying dependency of the insulating film is It is possible to form an insulating film having an excellent film quality, which can be reduced, and which is excellent in the filling property in the step and the flatness, does not generate a void, and has a small water content. Therefore, the reliability of the semiconductor device thus formed can be improved. Further, in carrying out the present invention, it suffices to add a simple process of suppressing the reaction due to the oxidizing gas to the conventional vapor phase growth process, which simplifies the manufacturing process and improves the throughput. Become.

【図面の簡単な説明】[Brief description of drawings]

【図1】図1は、本発明による半導体装置の製造方法の
一実施例によって形成される半導体装置を示す断面図で
ある。
FIG. 1 is a sectional view showing a semiconductor device formed by an embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図2】図2は、従来の半導体装置の製造方法によって
形成された半導体装置を示す断面図である。
FIG. 2 is a cross-sectional view showing a semiconductor device formed by a conventional semiconductor device manufacturing method.

【符号の説明】[Explanation of symbols]

11 シリコン基板 12 BPSG膜 13 アルミ配線 14 プラズマ-TEOS CVD NSG 膜 15 オゾン-TEOS CVD NSG 膜 11 Silicon substrate 12 BPSG film 13 Aluminum wiring 14 Plasma-TEOS CVD NSG film 15 Ozone-TEOS CVD NSG film

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 半導体装置の絶縁膜を有機シランおよび
酸化性ガスを用いる化学気相成長によって形成するに当
たり、成膜の初期または途中で酸化性ガスによる反応を
抑制することを特徴とする半導体装置の製造方法。
1. When forming an insulating film of a semiconductor device by chemical vapor deposition using organic silane and an oxidizing gas, the reaction by the oxidizing gas is suppressed at the initial stage or during the film formation. Manufacturing method.
【請求項2】 前記酸化性ガスによる反応の抑制を、酸
化性ガスの濃度を低下させて実施することを特徴とする
請求項1記載の半導体装置の製造方法。
2. The method for manufacturing a semiconductor device according to claim 1, wherein the suppression of the reaction by the oxidizing gas is carried out by reducing the concentration of the oxidizing gas.
【請求項3】 前記酸化性ガスの濃度の低下を、反応チ
ャンバへ供給する酸化性ガスの流量を減少させて実施す
ることを特徴とする請求項2記載の半導体装置の製造方
法。
3. The method of manufacturing a semiconductor device according to claim 2, wherein the concentration of the oxidizing gas is reduced by reducing the flow rate of the oxidizing gas supplied to the reaction chamber.
【請求項4】 前記酸化性ガスの濃度の低下を、還元性
ガスを反応チャンバへ供給することによって実施するこ
とを特徴とする請求項2記載の半導体装置の製造方法。
4. The method of manufacturing a semiconductor device according to claim 2, wherein the concentration of the oxidizing gas is reduced by supplying a reducing gas to the reaction chamber.
【請求項5】 前記酸化性ガスによる反応の低下を、半
導体基板の温度を、酸化性ガスの熱分解を抑制する温度
まで低下させることによって実施することを特徴とする
請求項1記載の半導体装置の製造方法。
5. The semiconductor device according to claim 1, wherein the reaction due to the oxidizing gas is reduced by lowering the temperature of the semiconductor substrate to a temperature at which thermal decomposition of the oxidizing gas is suppressed. Manufacturing method.
JP06885593A 1993-03-26 1993-03-26 Method for manufacturing semiconductor device Expired - Fee Related JP3256708B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP06885593A JP3256708B2 (en) 1993-03-26 1993-03-26 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06885593A JP3256708B2 (en) 1993-03-26 1993-03-26 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH06283509A true JPH06283509A (en) 1994-10-07
JP3256708B2 JP3256708B2 (en) 2002-02-12

Family

ID=13385710

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06885593A Expired - Fee Related JP3256708B2 (en) 1993-03-26 1993-03-26 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3256708B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098031A (en) * 1995-06-23 1997-01-10 Sony Corp Manufacture of insulation film by means of chemical vapor phase epitaxy
US5880518A (en) * 1996-09-10 1999-03-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including a two-layer protective insulating layer
KR100314271B1 (en) * 1994-11-19 2002-04-06 박종섭 Method for removing void in semiconductor device
JP2006054432A (en) * 2004-07-15 2006-02-23 Tokyo Electron Ltd Film forming method, film-forming device, and storage medium
JP2007048955A (en) * 2005-08-10 2007-02-22 Taiyo Nippon Sanso Corp Material for insulating film, film deposition method using same, and insulating film

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100577390C (en) * 2003-10-27 2010-01-06 刘志强 Nano vacuum die device and use the forming method of this nano vacuum die device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100314271B1 (en) * 1994-11-19 2002-04-06 박종섭 Method for removing void in semiconductor device
JPH098031A (en) * 1995-06-23 1997-01-10 Sony Corp Manufacture of insulation film by means of chemical vapor phase epitaxy
US5880518A (en) * 1996-09-10 1999-03-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including a two-layer protective insulating layer
US6319849B1 (en) 1996-09-10 2001-11-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a process for forming a protective insulating layer thereof
JP2006054432A (en) * 2004-07-15 2006-02-23 Tokyo Electron Ltd Film forming method, film-forming device, and storage medium
JP2007048955A (en) * 2005-08-10 2007-02-22 Taiyo Nippon Sanso Corp Material for insulating film, film deposition method using same, and insulating film

Also Published As

Publication number Publication date
JP3256708B2 (en) 2002-02-12

Similar Documents

Publication Publication Date Title
US5840631A (en) Method of manufacturing semiconductor device
US7084079B2 (en) Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US8728958B2 (en) Gap fill integration
JP3463416B2 (en) Method of manufacturing insulating film and semiconductor device
JP4032044B2 (en) Film forming method, semiconductor device manufacturing method, and semiconductor device
JP3256708B2 (en) Method for manufacturing semiconductor device
KR100339820B1 (en) Film formation method and manufacturing method semiconductor device
JPH06283519A (en) Manufacture of semiconductor device
JPH0669197A (en) Manufacture of semiconductor device
JPH07193129A (en) Manufacture of semiconductor device
JPH07161705A (en) Method of forming interlayer insulating film of multilayered wiring of semiconductor device
US6432839B2 (en) Film forming method and manufacturing method of semiconductor device
JP3054289B2 (en) Method for manufacturing semiconductor device
JP3017627B2 (en) Thin film formation method
JPH0729901A (en) Manufacture of semiconductor device
JPH0758100A (en) Manufacture of semiconductor device
JP3258427B2 (en) Method for manufacturing semiconductor device
JP3133857B2 (en) Method for manufacturing semiconductor device
JPH07183292A (en) Manufacture of semiconductor device
JP2856307B2 (en) Thin film formation method
JPH07288253A (en) Flattening of insulating film
JP3070894B2 (en) Thin film formation method
JPH06283507A (en) Manufacture of semiconductor device
JPH06283516A (en) Manufacture of semiconductor device
JPH06283523A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20071207

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081207

Year of fee payment: 7

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091207

Year of fee payment: 8

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101207

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees