JPH05249186A - 論理回路テスト装置及び論理回路テスト方法 - Google Patents

論理回路テスト装置及び論理回路テスト方法

Info

Publication number
JPH05249186A
JPH05249186A JP4315808A JP31580892A JPH05249186A JP H05249186 A JPH05249186 A JP H05249186A JP 4315808 A JP4315808 A JP 4315808A JP 31580892 A JP31580892 A JP 31580892A JP H05249186 A JPH05249186 A JP H05249186A
Authority
JP
Japan
Prior art keywords
circuit
latch circuit
clock
logic
latch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4315808A
Other languages
English (en)
Other versions
JP2553292B2 (ja
Inventor
Bernd K F Koenemann
バーンド・カール・フアーデイナンド・コエネマン
William H Mcanney
ウイリアム・ハワード・マツカニイ
Mark L Shulman
マーク・リー・シヤルマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05249186A publication Critical patent/JPH05249186A/ja
Application granted granted Critical
Publication of JP2553292B2 publication Critical patent/JP2553292B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【目的】シヨートパス障害、ロングパス障害及び縮退障
害についてのテストを組み込んだ実行容易な機構を提供
する。 【構成】一組のシフトレジスタ走査ストリングラツチ回
路内にデータをスキユーロードする機構を、論理回路5
0の動作をテストするレベル感知走査設計(LSSD)
技法による回路内に設ける。入力走査ストリングによ
り、テストされている論理ブロツク50に対する入力と
して「0」から「1」又は「1」から「0」に、ある数
の遷移が生ずることが保証される。さらに第2のシフト
レジスタ走査ストリング内への論理ブロツク50からの
情報の捕捉を1システムクロツクサイクル時間だけ遅延
させる機構により、縮退障害のテストを保持しながらシ
ヨートパス及びロングパスの発生をテストすることがで
きる。さらにこれらの利点のすべてはレベル感知走査設
計技法の従来の縮退障害テスト能力に悪影響を与えるこ
となく達成することができる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は論理回路テスト装置及び
論理回路テスト方法に関し、特にデイジタル論理コンピ
ユータ回路に関するテストについて、テスト中のクロツ
クのタイミング関係を志向しており、遅延故障及びシヨ
ートパス故障並びに従来の縮退故障をもつ回路をテスト
する手段を提供するものである。さらに本発明はレベル
感知走査設計(LSSD)回路構成技法に従つて構成さ
れたテスト回路を動作させるクロツク手段及び方法を提
案する。
【0002】
【従来の技術】技術的観点から見て半導体論理素子を一
段と高密度に電子チツプ基板上に配設することができる
ようになるに従つて、回路は一段と複雑となつて来た。
このように回路が複雑になつて来たため、半導体チツプ
素子、特にデイジタルコンピユータ論理回路を具体化す
る素子をテストすることが一段と困難になつて来た。
【0003】従つて、オンチツプテスト機能を与える機
構を回路自体に付加することが望ましい。これらの機能
はLSSD設計規則によつて代表されるが、この場合に
は論理ブロツクの2つの側面をシフトレジスタラツチ回
路走査ストリングが(物理的でなければ論理的に)取り
囲む。一般的にこれらの走査ストリングは複数のシフト
レジスタラツチ回路(SRL)を含み、各SRLは2つ
のラツチ回路、ラツチ回路L1及びラツチ回路L2を有
し、これらのラツチ回路はマスタ−スレーブ形式で接続
される。これらの回路が普通に機能しているときには、
1つ又は2つ以上のシステムクロツクによつてSRL内
に情報信号が記憶される。テスト動作中、SRLのシフ
トレジスタ動作モードを用いて、テストパターンすなわ
ちビツトシーケンスを個別のシフトクロツクによりこれ
らのSRL内にシフトさせる。これらのテストパターン
は論理ブロツクに対する駆動として使用される。論理ブ
ロツクを介してこれらの信号は連続的に伝達され、所定
の時点で第2のマスタ−スレーブラツチ回路対群に捕捉
される。このブロツク内の個々の論理ゲートを介して信
号が伝達するには既知の一定の長さの時間が必要である
ので、論理回路ブロツクについてのこの時点を周知の技
術によつて決めることができる。
【0004】テスト動作中は種々のクロツクシーケンス
が使用される。入力テストデータをシフトレジスタラツ
チ回路走査ストリング内に走査し、テストされている回
路ブロツクに入力テスト信号を送出するためにクロツク
シーケンスが用いられる。また入力シフトレジスタラツ
チ回路から回路ブロツクの入力端に信号を送り出し、回
路ブロツクの出力端からの応答を第2のラツチ回路群に
捕捉するためにクロツクシーケンスが提供される。しか
しながら本発明を理解する目的のためには、第2のラツ
チ回路群を走査ストリングとして構成することは絶対的
に必要なことではないが、これは得られたテストデータ
を直列に走査出力する場合には確かに好適である。
【0005】LSSD設計技法に従つて構築された回路
の一般的なテスト動作においては、シフトレジスタ走査
ストリング内のスレーブラツチ回路に対してテストパタ
ーンが走査入力される。これはデータをストリング内の
ラツチ回路対に沿つてシフトさせ、データ情報を各ラツ
チ回路対のスレーブラツチ回路内にロードすることによ
つて実現される。このデータは論理回路に対する駆動と
して使用され、この回路の出力応答はテストされている
論理回路ブロツクの出力に配置された第2のマスタ−ス
レーブラツチ回路群(走査ストリング内に配置されるの
も好適である)において捕捉される。このテスト様式の
1つの特徴は、駆動データによる走査処理を終了した時
点でシフトレジスタ走査ストリング内の各マスタ−スレ
ーブラツチ回路対が同じデータビツト、すなわち「0」
又は「1」のいずれかを有することである。この機構が
いわゆる縮退故障に対してテストを行う。縮退故障にお
いてはネツト上の信号は固定されて出現し、ネツトの入
力が変わつても変化しない。このような特性をもつてい
るため、これらの故障を低速度テスト中に観察すること
ができる。
【0006】
【発明が解決しようとする課題】上述のテスト手順及び
技法を用いて回路論理内の縮退故障に対するテストを実
行することができるが、これは非常に要望の多い他の種
類のテストには不適当である。
【0007】通常の使用法では、これらのコンピユータ
回路を高速で動作させることが望ましい。従つて、コン
ピユータのクロツク機構が第1のラツチ回路群から入力
データを送り出す時間と、その後このデータが第2のラ
ツチ回路群において捕捉されたことに応答する時間との
間の遅延を最小にすることが望ましい。一般的にこの時
間遅延はコンピユータ回路の設計工程中にこのブロツク
を介する信号伝達の最大遅延を計算することによつて判
定される。実際、(若干の危険性があつても)送り出し
側のクロツクパルスと捕捉側のクロツクパルスとを僅か
にオーバラツプさせてコンピユータ回路のクロツク周期
を改善するのが普通である。しかしながら、このクロツ
クのオーバラツプはいわゆる競争状態を生じさせる。テ
ストされる論理ブロツクの入力信号ライン及び出力信号
ライン間に、遅延が非常に短い経路が存在する場合、変
化しつつある信号がこの経路に沿つて送り出されて、そ
の結果クロツクがオーバラツプする期間全体にわたつて
誤つたデータが出力ラツチ回路対に捕捉される事態が生
じ得る。このようなシヨートパスすなわち「回り込み」
は初めから論理ブロツク内に設計されていたかも知れ
ず、またこの経路に沿つて統計的に「高速」回路が累積
した結果として製作中に発生することもあり得る。いず
れの理由にしてもこのようなシヨートパスが存在するか
否かを製造後のテストで確認することが必要となる。
【0008】同様に、テストすべき論理ブロツク内に設
計したものよりも長い又は必要とするものよりも長い信
号経路(これをロングパスと言う)が存在するかもしれ
ない。従つて、この状態を回路チツプを製造した後に、
出荷前又は機械に組み込む前にテストできることもまた
有用である。一般に上述のシヨートパス故障及びこれら
のロングパス故障すなわち遅延故障は回路が急速に動作
している間だけ明確になる。
【0009】従つてLSSDテスト技法は縮退故障状態
の検出には重要であるが、シヨートパス又は遅延故障が
すべて論理回路内の恒久的なエラーであるのにもかかわ
らずLSSDテスト技法はこれらの検出には一般に有用
でないことが理解できる。従つて本発明の目的はデイジ
タル論理回路のシヨートパスをテストする手段を提供す
ることである。
【0010】本発明の他の目的はデイジタル論理回路を
ロングパス遅延故障の状態についてテストする機構を提
供することである。
【0011】本発明のさらに他の目的はレベル感知走査
設計(LSSD)技法に関する能力を拡張し強化するこ
とである。
【0012】本発明のさらに他の目的は縮退故障テスト
を提供することである。
【0013】本発明のさらに他の目的はシヨートパス故
障、ロングパス故障及び縮退故障についてのテストを組
み込んだ実行容易な機構を提供することである。
【0014】最後にこれに限定されるものではないが、
本発明の目的は論理回路を使用する電気システム、特に
デイジタルデータ処理装置に組み込まれる集積回路チツ
プ素子の品質を改善することである。
【0015】
【課題を解決するための手段】かかる課題を解決するた
め本発明においては、1組の入力信号ライン及び1組の
出力信号ラインを有する論理回路ブロツクをテストする
デイジタル論理回路において、当該デイジタル論理回路
は、複数のラツチ回路対を有し、当該各ラツチ回路対は
マスタラツチ回路10及びスレーブラツチ回路12を含
むようになされている第1のシフトレジスタラツチ回路
走査ストリングと、各ラツチ回路対はマスタラツチ回路
10及びスレーブラツチ回路12を含むようになされて
いる第2のラツチ回路対群と、一時に1つのラツチ回路
ずつ走査ストリングに沿つて信号値をシフトさせる第1
のクロツク手段(Aクロツク、Bクロツク)と、論理回
路ブロツク50から第2のラツチ回路対群内のマスタラ
ツチ回路10内への出力信号値のロードを制御する第2
のクロツク手段(C1クロツク)と、シフトレジスタラ
ツチ回路走査ストリング内のマスタラツチ回路10から
シフトレジスタラツチ回路走査ストリング内のそれぞれ
のスレーブラツチ回路12に、走査ストリング内のラツ
チ回路対間で信号値を転送せずに信号値を転送する第3
のクロツク手段(C2クロツク)と、第2のクロツク手
段(C1クロツク)からの単一のパルスを抑制し、これ
によつて第3のクロツク手段(C2クロツク)からのパ
ルスが第2のクロツク手段(C1クロツク)からのパル
スよりも早く発生することを保証する手段とを設け、ス
レーブラツチ回路12の出力信号ラインは論理回路ブロ
ツク50の入力信号ラインに接続されると共に、これら
の走査ストリング内の次の単一のマスタラツチ回路10
にも接続してシフトレジスタを形成し、論理回路ブロツ
ク50の出力信号は第2のラツチ回路対群内のマスタラ
ツチ回路10の入力信号ラインに接続されるようにす
る。
【0016】
【作用】本発明の好適な実施例によれば、論理回路のブ
ロツクをテストするデイジタル論理回路はシフトレジス
タとして動作すると共に、テストされる論理ブロツクの
ための入力信号手段としても作用するように接続された
複数のマスタラツチ回路及びスレーブラツチ回路対をも
つ第1のシフトレジスタラツチ回路走査ストリングを含
む。第2のラツチ回路対群はテストされるブロツクから
の出力信号ラインに接続され、そのラツチ回路対もマス
タラツチ回路及びスレーブラツチ回路を含む。一時に1
ラツチ回路づつ走査ストリングに沿つて信号値をシフト
させるクロツク機構が設けられる。論理ブロツクからの
出力信号を第2のラツチ回路対群のマスタラツチ回路内
に捕捉することを制御する他のクロツク機構が設けられ
る。またテストされるブロツクの入力信号ラインにテス
ト信号が供給される他のクロツク機構が設けられる。最
後に、第2のクロツク機構からの単一のパルスを抑制す
る手段として「クロツクパルス貪食回路(swallower ci
rcuit)」が用いられる。これはブロツク出力信号の捕捉
を制御する機構である。
【0017】さらに特定的には、走査ストリングラツチ
回路に沿つて信号値をシフトさせるクロツク機構を用い
て「スキユーロード(skewed load)」を実行する。スキ
ユーロードにおいては、シフトレジスタのロード動作は
(通常はスレーブラツチ回路のシフトクロツクである
が、これではなく)マスタラツチ回路のシフトクロツク
によつて終了する。従つて、スキユーロード後に所望の
テストパターンがマスタラツチ回路内に記憶されるが、
これはスレーブラツチ回路にはシフトされない。スキユ
ーロードの後、特定のマスタ−スレーブラツチ回路対の
マスタラツチ回路及びスレーブラツチ回路が異なつた論
理信号を含むのは極めて当然である。このような状況で
は、マスタラツチ回路からこれに対応するスレーブラツ
チ回路への信号値の転送は、論理ブロツク内に信号の遷
移(「0」から「1」へ又は「1」から「0」へのいづ
れか)を供給することによつて行われる。
【0018】システム動作中の一般的なクロツクシーケ
ンスはマスタ−スレーブクロツク対が急速に動作を反復
することから構成される(すなわちマスタ−スレーブ、
マスタ−スレーブ、マスタ−スレーブ、…のシーケン
ス)。本発明を使用するテスト中、通常基本的なクロツ
クシーケンスは急速で動作する2つのクロツク対(マス
タ−スレーブ、マスタ−スレーブ)によつて構成される
が、1つの明確に通常と異なつた特徴は、第1のマスタ
クロツクを抑制してこのシーケンスを(スレーブ、マス
タ−スレーブ)のままにすることである。
【0019】特にシヨートパステスト及び遅延テストの
ための駆動信号発生に使用するのは、この制御された急
速に動作するクロツクシーケンスである。さらに一段と
詳しく述べれば、本発明は通常チツプ上に見ることので
きるクロツク回路に対して比較的小さな変更を加えるだ
けで容易にこれらのテストを実行し得るクロツクネツト
ワーク及び機構を説明するものである。
【0020】
【実施例】以下図面について、本発明の一実施例を詳述
する。
【0021】レベル感知走査設計方法においては、図1
0に示すようにシフトレジスタラツチ回路走査ストリン
グが用いられる。このような走査ストリングにおいては
対のラツチ回路が用いられる。通常これらのラツチ回路
は図1に示すようにマスタ−スレーブ関係になるように
接続される。図10に示すようにラツチ回路L1及びラ
ツチ回路L2の各対(マスタラツチ回路L1は符号10
で示し、スレーブラツチ回路L2は符号12で示す)は
図1に示すような単一のシフトレジスタ段を構成する。
図1を理解することは本発明の動作を理解する上で重要
であり、これは米国特許第 3,783,254号、第 3,761,695
号、第 3,784,907号及び1977年6月20〜22日開催、IE
EEコンピユータ協会主催による第14回設計自動化会議
の会議録、「LSIテストのための論理設計の構成」 4
62頁〜467 頁により理解することができる。また一般に
シフトレジスタラツチ回路走査ストリングは2つのモー
ドで動作することを理解することが重要である。通常の
動作モードにおいては、走査ストリングをなすSRLは
論理回路ブロツクへの論理入力についてのデータ源とし
て機能し、この論理回路ブロツクは供給されたデータに
基づいて動作を実行する。第2の動作モード(テストモ
ード)においては、テストデータがシフトレジスタラツ
チ回路走査ストリングにロードされ、この走査ストリン
グはシフトレジスタとして動作することによりテストデ
ータを受け入れ、このテストデータを用いて、テストさ
れる論理回路ブロツク内に定義されている論理機能を駆
動して作動させる。
【0022】従つて、テスト動作モードにおいて一連の
ビツト値は走査データ入力ライン(図1の符号I)を介
してラツチ回路にロードされる。このデータはシフトA
クロツク(図1の符号A)の動作によつてラツチ回路L
1に走査される。データを走査するために用いられる第
2のクロツクはラツチ回路L2を制御するBクロツクで
ある。ラツチ回路L2の出力はテストされている論理ブ
ロツクに向かうと共に、シフトレジスタラツチ回路走査
ストリングのチエーン内における次のL1ラツチ回路に
向かう。注意すべきは図1においてラツチ回路L2に送
出されるクロツク信号は「+B/C2クロツク」として
示されていることである。この「+」の符号は、ラツチ
回路L1からラツチ回路L2にデータを転送させるのは
このクロツクの正の論理値であることを示す。符号
「/」は、ラツチ回路L2へのこの特定のクロツク信号
入力はデータを走査するクロツク機構(Bクロツク)又
はシステムクロツクC2のいずれかから供給されること
を示し、これについては後に詳述する。+B/C2クロ
ツク信号の2つの源は異なる性能上の要件(Bクロツク
は比較的遅い走査速度で走行し、C2クロツクはシステ
ム速度で走行する)を有し、クロツクが2つあることに
よりクロツク分配システムの配線を簡単にすることがで
きる。
【0023】また注意すべきは図1にはこれ以外のクロ
ツク及び信号ラインも存在することである。特にシステ
ムデータ信号ライン(図1の符号D)は論理フロー経路
全体の前段からの論理信号情報を提供する。通常の動作
状態の下で論理回路ブロツク(図2及び図11の符号5
0)を最終的に駆動するのはこのシステムデータであ
る。さらに通常の動作においてシステム全体を通して情
報を素早く転送するために、情報をできる限り早くラツ
チ回路L1内に移すと共に、ラツチ回路L1からラツチ
回路L2に移動させる必要がある。この目的のためにシ
ステムクロツクC1及びシステムクロツクC2が設けら
れる。これらのクロツクパルスの相対的なタイミングを
図9に示し、以下に詳述する。2重ラツチ回路設計にお
いて高性能を得るためにはこれらの2つのシステムクロ
ツクC1及びC2が正確に同期して素早く連続的に発生
する必要があるが、図9に示すように若干のオーバラツ
プがあつてもよい。例えば1つのクロツク設計において
は、単一のマスタシステムクロツク(すなわち発振器)
を用いて、クロツクパルスを断続して各チツプ上に拡
げ、これにより必要な機能を有するC1クロツク及びC
2クロツクを供給する。このようなクロツクチヨツパを
図8に示す。一般にマスタシステムクロツクすなわち発
振器(図8に示す「SYS CLK」)はシステム動作
中自走する。
【0024】図8に示すクロツクチヨツパ回路を本発明
に従つて改善して図4に示すような回路に修正する。し
かしながら、図8の入力信号の機能及び図8の回路から
の出力信号の発生を理解することは重要である。
【0025】ここで図を説明するためにナノ秒で表すあ
る遅延時間の値を用いて図8に示す回路の理解に役立て
る。図8に示す回路への3つの入力のうちの2つは、行
使されたときLSSDシフトBクロツクになるBクロツ
クと、行使されたときマスタシステムクロツクがC2ク
ロツクを発生しないようにする+DCTESTである。
通常のシステム動作においては、Bクロツク及び+DC
TESTの双方とも論理値「0」に保持される。
【0026】図8に示す回路への第3の入力であるマス
タシステムクロツク(SYS CLK)は機能性のある
C1クロツク及びC2クロツクを発生する。このマスタ
システムクロツクラインはORインバータ23及びOR
インバータ25の入力端に送出される。信号を3〔ns〕
遅延させて反転させただけに過ぎないORインバータ2
3の出力はORインバータ25の入力端に送出される。
ゲート23からの出力信号とSYS CLKラインから
の信号とがゲート25に現れて相互に作用することによ
り、機能性のあるC2クロツク(ORゲート27の出力
信号)のパルス幅及びタイミングを制御する。
【0027】同時にマスタシステムクロツクライン(S
YS CLK)は遅延回路22にも送出され、遅延回路
22はここで信号を1〔ns〕遅延させる。遅延回路22
の信号はインバータ24に送出され、このインバータ2
4の出力は直接ORインバータ28に送出される。さら
にインバータ24の出力信号はORゲート26にも送出
され、ORゲート26はこの信号を1〔ns〕遅延させて
この信号をORインバータ28に送出する。C1クロツ
クを生成するのはORインバータ28の出力である。
【0028】図9には10〔ns〕のクロツク周期を有する
SYS CLK信号出力、C1クロツク信号出力及びB
/C2クロツク信号出力を示す。特に注意すべきは、図
8の遅延回路22において生成された1〔ns〕の遅延
は、図9に示すようにC1クロツク信号及びB/C2ク
ロツク信号間に1〔ns〕のオーバラツプを生じさせるこ
とである。
【0029】図9に示すようにC1クロツク信号及びB
/C2クロツク信号がオーバラツプしているため、シス
テムの動作中に競争状態が生ずる。L2ラツチ回路の出
力及びL1ラツチ回路へのシステムデータ入力間に高速
経路(すなわちシヨートパスつまり回り込み)が存在す
る場合、C1クロツクが未だ活動的である間にC2クロ
ツクによつて導かれたL2ラツチ回路の変化しつつある
出力をL1ラツチ回路に伝達することができる。これに
より、誤つた値がL1ラツチ回路にラツチされ、従つて
それに対応するL2スレーブラツチ回路にもこの誤つた
値がラツチされることになる。これは製造テスト中にテ
ストしなければならない状態の1つである。同様に遅延
故障すなわちロングパス故障と縮退故障もテストできる
のが望ましい。
【0030】上述のように、通常ラツチ回路L1及びラ
ツチ回路L2は走査ストリングに配置されたラツチ回路
対として構成される。図10は論理ブロツク50にテス
ト信号を供給するこのような走査ストリングを示す。テ
スト動作モードドにおいては、Aクロツク及びBクロツ
クはBABAB…ABのシーケンスとして図11に示す
ように活動的であり、その結果図示の「走査データ入
力」ラインからデータを入力する。この情報はラツチ回
路対SRLのストリングに沿つて伝わる。図10におい
て注意すべき重要な点は、通常のテスト動作モードにお
いてはこの走査入力シーケンスはBクロツクパルスで終
了することである。このモードは、Bクロツクパルスの
終了点においてラツチ回路L1及びラツチ回路L2にお
けるデータが同一であることを保証する。論理ブロツク
50に信号を送出するラツチ回路L2内に所望のテスト
ビツトが存在するとき、システムクロツクC1を用いて
論理ブロツク50から出力信号を捕捉する。またBクロ
ツク信号で始まりBクロツク信号で終了する次の走査入
力クロツクシーケンスにより、異なるデータ群を論理回
路に提供し、図示のようにブロツク50の出力信号ライ
ンに接続されているラツチ回路に捕捉できる新しい情報
を転送することができる。また注意すべきはこれら後者
のラツチ回路は図10に示すようにシフトレジスタラツ
チ回路走査ストリングの形態に構成することが好ましい
が、図10に詳しくは示さない。従つて図11に示すク
ロツクパルス信号によつて発生される図10に示す動作
が、縮退故障テストのために必要な所望の入力走査デー
タを捕捉し、新しいデータ駆動動作を発生することが理
解できる。
【0031】本発明によると異なる入力走査様式が用い
られる。特に入力走査クロツクパルスのシーケンスはA
クロツクパルスの表示とともに終了する。これをスキユ
ーロードと呼ぶ。スキユーロードの後、マスタ−スレー
ブラツチ回路対におけるL1ラツチ回路の値及びL2ラ
ツチ回路の値は必ずしも同じではない。特に入力データ
走査がランダムに発生する場合には、スキユーロード後
にこのラツチ回路対が異なる値を有する確率は0.5 であ
る。
【0032】テスト状態においては、図8に示す「Bク
ロツク」ライン及び「+DCTEST」ラインは論理
「0」に保持され、「SYS CLK」ラインには図6
に示すようにパルスが2回発生される。しかしながら、
図6に示すように本発明によるとC1クロツクの第1の
パルスは取り消さるが、第2のパルスはそのまま通過す
るようにクロツクネツトワークが修正される。しかしな
がら、B/C2クロツクパルスは第1のパルス及び第2
のパルス双方が発生される。B/C2クロツクの第1の
パルスは各シフトレジスタラツチ回路のL2ラツチ回路
にそのL1ラツチ回路の値をロードする。スキユーロー
ドがL1及びL2に異なる値を残す場合、このクロツク
パルスが論理回路に「0」から「1」への遷移又は
「1」から「0」への遷移を引き起こす。次に1周期後
にSYS CLKの第2のパルスが通常のオーバラツプ
をもつC1/C2クロツクパルス対を発生する。このオ
ーバラツプを図6に示す。C1クロツクはテスト駆動に
対するシステムの論理応答をL1ラツチ回路に捕捉し、
C2クロツクは走査出力動作を準備するためにこれらの
応答をL2ラツチ回路に移動させる(ここでは特に論理
ブロツク50用のデータ捕捉ラツチ回路は事実上シフト
レジスタラツチ回路走査ストリング内に配置されている
と仮定する)。
【0033】遅延パス及びシヨートパスに関するテスト
の場合における、図2に示す回路のための所望のパルス
タイミングシーケンスを図3に示す。図3は走査入力動
作がスキユーロードで終了し、これに続いてデータの送
り出し動作及びデータの捕捉動作が行われることを示
す。しかしながら注意すべきは、この動作はC1クロツ
クの第1のパルスを抑制することによつて行われるとい
うことである。
【0034】図4の回路によつて生成され得る図6のテ
ストタイミングは幾つかの利点を有する。第1の利点
は、スキユーロードが走査ストリング内の対応するL1
及びL2からなるマスタ−スレーブラツチ回路内に異な
つた値を残している場合遅延テストが生ずることであ
る。上述のようにB/C2クロツクの第1のパルスは論
理回路に伝播される遷移を生じさせる。それに沿つてL
1入力端に遷移が伝播する経路が存在するとき、この経
路の遅延が1クロツクサイクルにオーバラツプを加えた
ものよりも長い場合、テスト失敗表示が示される。従つ
て、システム速度でテストが行われる。第2の利点は、
SYS CLKの最後のパルスは正常にオーバラツプし
ているC1/C2パルス対を発生するので、シヨートパ
スの受信用シフトレジスタラツチ回路に捕捉された値は
このような状況では正しくないので、シヨートパスにつ
いてのテストも生ずる。さらにこのような故障は遅延故
障状態の極端な場合であると考えることができるので縮
退故障についても適用できる。
【0035】本発明が目指す所望のタイミングを得るの
に好ましい回路を図4に示す。この回路のクロツクチヨ
ツパ部については、図8の回路を検討したときに既に説
明した。しかしながら、注意すべきは図4の回路におい
ては第3の入力信号ラインがORインバータゲート28
に付加されていることである。この信号ラインは図4に
「+SHIFT」で示す制御信号を受けるORインバー
タ40の出力から供給される。この信号は、論理「1」
であるときこの回路のC1クロツク貪食部をデイスエー
ブルする(従つてマスタシステムクロツクSYS CL
Kは通常の動作でC1クロツクを制御することができ
る)。
【0036】2つのシフトレジスタラツチ回路(それぞ
れL1/L2ラツチ回路対を含む)が図8のクロツクネ
ツトワークに付加されることにより、本発明が目指すタ
イミングを得る。図4に示す連続した2つのSRL、す
なわちL1ラツチ回路41及びL2ラツチ回路42によ
つて形成された第1のSRLと、L1ラツチ回路43及
びL2ラツチ回路44によつて形成された第2のSRL
とを走査ストリングの一方に含める。注意すべきはラツ
チ回路41及び42間には2:1マルチプレクサ46が
配設されると共に、論理「1」のとき信号ライン+SH
IFTはラツチ回路対41及び42を通る通常の走査経
路を形成することである。また注意すべきはラツチ回路
43及び44によつて形成されたSRLは走査専用のS
RLであるということである(この場合このSRLはシ
ステムデータポートをもたない)。
【0037】図4の通常のシステム動作の間、+SHI
FTで示す入力は論理「1」に保持され、入力 +DC
TESTは論理「0」に保持され、Aクロツクライン及
びBクロツクラインはオフに保持される。マスタシステ
ムクロツクSYS CLKをトグルすると、C1クロツ
ク及びB/C2クロツクは通常の動作を行う。
【0038】図4のクロツクネツトワークのSRLを通
して走査するためには、入力 +SHIFTは論理
「1」に保持され、マスタシステムクロツクSYS C
LK及び+DCTESTは共に論理「0」に保持され
る。次にAクロツク入力及びBクロツク入力を使用して
走査が行われる(BクロツクはB/C2クロツクを発生
する)。
【0039】図4でテストタイミングを開始させるに
は、入力 +SHIFTを「1」に保持し、マスタシス
テムクロツクSYS CLK及び+DCTESTを共に
「0」に保持する。次にAクロツク及びBクロツクを用
いてSRLにテストデータをロードし、最後のAクロツ
クを用いてシフト動作を終了させる(これがスキユーロ
ードである)。次のシフト動作までAクロツク及びBク
ロツクは共にオフに保持される。このテストデータのス
キユーロードは図4のL2ラツチ回路42及びL1ラツ
チ回路43内に同じ値を残す。排他的ORゲート45は
その双方の入力に同じ値を受けるので、その出力は
「0」である。この値「0」はORインバータゲート4
0の他の入力端に与えられる。
【0040】次に+SHIFTを「0」に設定し、+D
CTESTを「0」に保持する。次にマスタシステムク
ロツクSYS CLKに2回パルスを発生させる。OR
インバータ40に対する2つの入力は「0」であり、そ
の出力の「1」の信号がORインバータゲート28の出
力を「0」に保持するので、通常システムクロツクの第
1のパルスから生ずるC1クロツクパルスはオフに保持
される。しかしながら、B/C2クロツクの第1のパル
スは生ずる。
【0041】+SHIFTは「0」であるのでマルチプ
レクサ46の出力はラツチ回路43の−L1出力にセツ
トされ、このB/C2クロツクの第1のパルスはラツチ
回路43の値の反転値をラツチ回路42に戻してロード
する。ラツチ回路42及びラツチ回路43の値が異なつ
ているので排他的ORゲート45の出力は「1」にな
り、ORインバータゲート40の出力は論理「0」にな
る。これにより、システムクロツクの第2のパルス(図
6に示すように)は通常の機能的クロツク対を発生する
(C1クロツクの次にはB/C2クロツクが続く)。
【0042】通常、C1クロツク及びB/C2クロツク
間にはオーバラツプが存在する。ラツチ回路42から排
他的ORゲート45及びORインバータゲート40を通
る経路における遅延が短かいと、B/C2クロツクの第
1のパルスによつて生じたラツチ回路42内の変化しつ
つある値はさざ波のようにORインバータ40の出力に
伝わり、C1クロツクの第1のパルスの一部が生ずる。
これは、ロングパステストすなわち遅延テストを無効に
する。これを回避するためにはこの経路における遅延が
オーバラツプよりも大きくなければならない(必要であ
ればパツドを加えなければならない)。
【0043】本発明が目指すタイミングを得るための他
の回路を図5に示す。この回路のクロツクチヨツパ部に
ついては図8の回路のときに既に説明した。図5の回路
の場合にも、第3の入力信号ラインがORインバータゲ
ート28に付加される。この信号ラインは図5で「+S
HIFT」で示す制御信号を受けるOR反転ゲート40
の出力から供給される。この信号が論理「1」のとき、
図5のC1クロツク貪食部回路はデイスイネーブルさ
れ、従つてマスタシステムクロツクSYS CLKは通
常動作中C1クロツクを制御することができる。
【0044】図5に示す付加された2つのシフトレジス
タラツチ回路は、L2ラツチ回路32と組み合わせたL
1ラツチ回路31と、L2ラツチ回路34と組み合わせ
たL1ラツチ回路33とである。特に注意すべきはL2
ラツチ回路32は2つの独立したデータポートを有する
ことである。第1のポートは結合したL1ラツチ回路3
1によつて行われるBクロツクによつてクロツクされ
る。これにより、L2ラツチ回路32はシフトレジスタ
経路のスレーブラツチ回路として機能することができ
る。第2のデータポートはC2クロツクによつてクロツ
クされる独立したポートであり、これによつてL1ラツ
チ回路33に含まれる値の反転値をL2ラツチ回路32
にロードすることができる。また注意すべきは、L2ラ
ツチ回路32はBクロツク(図5の上部に入力として示
す)又は図5のORインバータブロツク25により発生
されるC2クロツク信号のいずれかによつてクロツクさ
れ、ORゲート27により発生されたB/C2クロツク
ラインによつてクロツクされるものではない。
【0045】ラツチ回路33及びラツチ回路34から形
成された図5の第2のSRLは走査専用のSRLであ
り、従つてシステムデータを受けるポートをもつていな
い。この2つのSRLは図5に示すように従来の走査経
路内に接続される。特に注意すべきはラツチ回路33の
論理反転値からラツチ回路32の第2のデータ入力に戻
る帰還経路である。
【0046】通常のシステム動作中、信号ライン +S
HIFTは論理「1」に設定されてC1のクロツク貪食
回路をデイスエーブルし、マスタシステムクロツクSY
SCLKがC1クロツクを制御できるようにすると共
に、信号ライン +DCTESTは論理「0」に設定さ
れてマスタシステムクロツクがB/C2クロツクを制御
できるようにする。さらにAクロツク及びBクロツクの
シフトラインは論理「0」に保持されてシフトを防止す
る。
【0047】図5の回路でテスト動作を開始するために
は、+DCTESTラインが論理「1」に設定され、S
YS CLK信号ライン及び+SHIFT信号ラインが
共に論理「0」に設定される。次にシフトAクロツク及
びシフトBクロツクを用いて、特にAクロツクパルスに
よりシフト動作を終了させて(スキユーロード)シフト
レジスタラツチ回路走査ストリングにテストデータをロ
ードする。次のシフト動作までシフトAクロツク及びシ
フトBクロツクが共にオフに保持される。テスト用駆動
のスキユーロードは図5のラツチ回路32及びラツチ回
路33に同じ値を残す。従つて、排他的ORゲート35
はその入力信号ラインの双方において同じ値を「見る」
ことになるので、その出力信号ラインは論理「0」にな
る。この論理「0」の信号値はORインバータゲート4
0に付加される。
【0048】次に+DCTEST信号ラインは論理
「0」に設定され、+SHIFT信号ラインは論理
「0」に保持される。SYS CLKラインに2回パル
スが発生される(図6参照)。通常SYS CLKの第
1のパルスから発生するC1クロツクパルスはOR反転
ゲート40の出力である論理「1」によつてオフに保持
される。しかしながら、図6に示すようにB/C2クロ
ツクの第1のパルスは発生する。また注意すべきはブロ
ツク25のC2クロツクラインの出力にもパルスが存在
することである。このパルスによりラツチ回路33内の
値の反転値をラツチ回路32に戻してロードする。排他
的ORゲート35はその入力に異なつた値を有し、従つ
てその出力は論理「1」になる。排他的ORゲート35
の出力端とOR反転ゲート40への入力端との間は接続
されているのでC1クロツクの貧食は禁止される。これ
によりSYS CLKの第2のパルスは通常のC1/C
2クロツク対を発生することができる。
【0049】図5において、C2クロツクによつて生ず
るラツチ回路32内の値の変化がOR反転ゲート40の
出力に影響を及ぼしてC1クロツクの第1のパルスの一
部が生じないようにするためにラツチ回路32から排他
的ORゲート35及びOR反転ゲート40を通る経路に
遅延用パツドが必要になる。
【0050】本発明を使用できる他の環境は組込みテス
トすなわち自己テストである。LSSD環境において自
己テストを行う方法は1985年3月5日出願、米国特許第
4,503,537号に述べられている。この方法においては図
7に示すようにシフトレジスタストリングの走査入力は
いわゆる並列疑似ランダムパターン発生器60によつて
与えられ、このシフトレジスタストリングの走査出力は
いわゆる多重入力シグニチヤレジスタすなわちMISR
70に送られる。テスト中、テスト用駆動として使用す
べき疑似ランダム値がSRL80内に走査入力される。
システムクロツクを循環させた後、SRL内に捕捉され
た信号は走査出力され、MISR内に「圧縮」されてテ
ストの終わりに結果すなわちシグニチヤを形成し、これ
を合否判定用の予期した良好なシグニチヤと比較するこ
とができる。
【0051】上述の通り本発明をその最適な実施例に基
づいて図示、説明したが、本発明の精神及び範囲から脱
することなく詳細構成について種々の変更を加えてもよ
い。
【0052】
【発明の効果】上述のように本発明によれば、クロツク
回路を簡単に補強する手段によつて、論理回路の機能ブ
ロツクについて今までできなかつた遅延テスト及びシヨ
ートパステスト並びに縮退故障テストを行うことができ
るようになることが理解できる。特に本発明の回路は一
対の信号捕捉クロツク信号のうちの第1の信号を抑制す
る機能を提供するので、以前に発生したデータを捕捉す
る代わりに、監視中の論理ブロツクを介して遷移信号を
捕捉することができるようになる。このような遷移信号
の発生はテストデータを走査ストリングに走査する間に
生ずるスキユーロードによつて達成される。またこのよ
うな機能のすべては従来の縮退故障テストの機能に対し
て全く不利な影響を与えずに提供できる。この強化され
たテスト能力は従来の縮退故障並びに遅延故障及びシヨ
ートパス故障の双方に対する設計及びテスト上の統合性
を保持しながら一段と高速で論理回路を駆動する能力に
関する重要な特徴であることを理解すべきである。
【図面の簡単な説明】
【図1】図1はシフトレジスタラツチ回路走査ストリン
グ内にあるマスタ−スレーブラツチ回路対に付加された
信号を示すブロツク図である。
【図2】図2は本発明により供給されたクロツク信号を
一段と詳細に示す図10と同様のブロツク図である。
【図3】図3は遅延故障、シヨートパス故障及び縮退故
障テストに用いた場合の本発明のクロツクを示すタイミ
ング図である。
【図4】図4は一対のマスタ−スレーブクロツクパルス
のうちの第1のパルスを抑制するための本発明による好
適な回路を示すブロツク図である。
【図5】図5は一対のマスタ−スレーブクロツクパルス
のうちの第1のパルスを抑制するための本発明による他
の回路を示すブロツク図である。
【図6】図6はシヨートパス故障、ロングパス故障及び
縮退故障テスト中に図4及び図5の回路から発生する入
力信号及び関連する出力信号を示すタイミング図であ
る。
【図7】図7は本発明をシフトレジスタ走査ストリング
及びLSSD技法と組み合わせて、シヨートパス故障、
ロングパス故障及び縮退故障を組み込んだテストをする
場合を示すブロツク図である。
【図8】図8は図1に示す2つのクロツクパルス信号ラ
インを発生する回路を示すブロツク図である。
【図9】図9は図8に示す回路のための入出力信号のタ
イミングを示すタイミング図である。
【図10】図10はテストされている論理ブロツク及び
入力テストデータを供給し出力信号情報を捕捉するシフ
トレジスタラツチ走査ストリング間の関係を示すブロツ
ク図である。
【図11】図11は走査入力動作及び捕捉動作を示すタ
イミング図である。
【符号の説明】
10、31、33、41、43……マスタラツチ回路、
12、32、34、42、44……スレーブラツチ回
路、21、26、27、……ORゲート、22……遅延
回路、23、25、28、40……ORインバータ、3
5、45、……XORゲート、46……マルチプレク
サ、50……論理ブロツク、60……並列疑似ランダム
パターン発生器、70……多重入力シグニチヤレジスタ
(MISR)。
フロントページの続き (72)発明者 バーンド・カール・フアーデイナンド・コ エネマン アメリカ合衆国、ニユーヨーク州12533、 ホープウエル・ジヤンクシヨン、ペルブリ ツジ・ドライブ 21番地 (72)発明者 ウイリアム・ハワード・マツカニイ アメリカ合衆国、ニユーヨーク州12540、 ラグランジユビル、ウオーターバリー・ヒ ル・ロード ボツクス276、アール・アー ル1号(番地なし) (72)発明者 マーク・リー・シヤルマン アメリカ合衆国、ニユーヨーク州12580、 スタツツバーグ、ホロー・リツジ・ロード 23番地

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】1組の入力信号ライン及び1組の出力信号
    ラインを有する論理回路ブロツクをテストするデイジタ
    ル論理回路において、 上記デイジタル論理回路は、 複数のラツチ回路対を有し、上記各ラツチ回路対はマス
    タラツチ回路及びスレーブラツチ回路を含むようになさ
    れている第1のシフトレジスタラツチ回路走査ストリン
    グと、 それぞれがマスタラツチ回路及びスレーブラツチ回路を
    含む第2のラツチ回路対群と、 一時に1つのラツチ回路ずつ上記走査ストリングに沿つ
    て信号値をシフトさせる第1のクロツク手段と、 上記論理回路ブロツクから上記第2のラツチ回路対群内
    の上記マスタラツチ回路内への出力信号値のロードを制
    御する第2のクロツク手段と、 上記シフトレジスタラツチ回路走査ストリング内の上記
    マスタラツチ回路から上記シフトレジスタラツチ回路走
    査ストリング内のそれぞれのスレーブラツチ回路に、上
    記走査ストリング内の上記ラツチ回路対間で信号値を転
    送せずに信号値を転送する第3のクロツク手段と、 上記第2のクロツク手段からの単一のパルスを抑制し、
    これによつて上記第3のクロツク手段からのパルスが上
    記第2のクロツク手段からのパルスよりも早く発生する
    ことを保証する手段とを具え、 上記スレーブラツチ回路の出力信号ラインは上記論理回
    路ブロツクの入力信号ラインに接続されると共に、これ
    らの走査ストリング内の次の単一のマスタラツチ回路に
    も接続してシフトレジスタを形成し、 上記論理回路ブロツクの上記出力信号は上記第2のラツ
    チ回路対群内の上記マスタラツチ回路の入力信号ライン
    に接続されていることを特徴とする論理回路テスト装
    置。
  2. 【請求項2】上記第2のラツチ回路対群はシフトレジス
    タラツチ回路走査ストリングとして構成されることを特
    徴とする請求項1に記載の論理回路テスト装置。
  3. 【請求項3】論理回路ブロツクをテストする方法におい
    て、 混合2進データをシフトレジスタラツチ回路走査ストリ
    ング内にスキユーロードするステツプと、 上記シフトレジスタラツチ回路からの遷移信号によつて
    上記論理ブロツクを駆動するステツプと、 上記遷移信号による駆動に対する上記論理ブロツクの応
    答を1組の出力ラツチ回路内において捕捉するステツプ
    とを具えることを特徴とする論理回路テスト方法。
  4. 【請求項4】上記捕捉ステツプは上記1組の出力ラツチ
    回路内において捕捉できる、2つのクロツクパルスのう
    ちの第1のパルスを抑制する結果として生ずることを特
    徴とする請求項3に記載の論理回路テスト方法。
JP4315808A 1991-12-20 1992-10-29 論理回路テスト装置及び方法 Expired - Lifetime JP2553292B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US81120591A 1991-12-20 1991-12-20
US07/811205 1991-12-20

Publications (2)

Publication Number Publication Date
JPH05249186A true JPH05249186A (ja) 1993-09-28
JP2553292B2 JP2553292B2 (ja) 1996-11-13

Family

ID=25205877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4315808A Expired - Lifetime JP2553292B2 (ja) 1991-12-20 1992-10-29 論理回路テスト装置及び方法

Country Status (3)

Country Link
US (1) US5617426A (ja)
EP (1) EP0548585A2 (ja)
JP (1) JP2553292B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271677B1 (en) 1999-04-28 2001-08-07 Matsushita Electric Industrial Company, Limited Semiconductor integrated circuit and method for testing the semiconductor integrated circuit
WO2006041052A1 (ja) * 2004-10-13 2006-04-20 International Business Machines Corporation マイクロコンピュータ及びそのlssdスキャンテスト方法
JP2009109512A (ja) * 2001-02-15 2009-05-21 Syntest Technologies Inc 自己試験中または走査試験中にクロックドメインにまたがる故障を検出するか突き止める複数キャプチャdftシステム

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3486231B2 (ja) * 1994-07-20 2004-01-13 株式会社アドバンテスト 多ビット試験パターン発生器
GB9417602D0 (en) * 1994-09-01 1994-10-19 Inmos Ltd A controller for implementing scan testing
US5926487A (en) * 1996-01-05 1999-07-20 International Business Machines Corporation High performance registers for pulsed logic
US6032278A (en) * 1996-12-26 2000-02-29 Intel Corporation Method and apparatus for performing scan testing
US5790561A (en) * 1997-01-17 1998-08-04 Rockwell International Corporation Internal testability system for microprocessor-based integrated circuit
US5896046A (en) * 1997-01-27 1999-04-20 International Business Machines Corporation Latch structure for ripple domino logic
US6223314B1 (en) * 1997-12-31 2001-04-24 Karim Arabi Method of dynamic on-chip digital integrated circuit testing
US6247154B1 (en) * 1998-03-03 2001-06-12 Rutgers, The State University Of New Jersey Method and apparatus for combined stuck-at fault and partial-scanned delay-fault built-in self test
US6178534B1 (en) 1998-05-11 2001-01-23 International Business Machines Corporation System and method for using LBIST to find critical paths in functional logic
US6195775B1 (en) 1998-09-02 2001-02-27 International Business Machines Corporation Boundary scan latch configuration for generalized scan designs
US6442720B1 (en) * 1999-06-04 2002-08-27 International Business Machines Corporation Technique to decrease the exposure time of infrared imaging of semiconductor chips for failure analysis
US6629277B1 (en) * 2000-02-15 2003-09-30 Sun Microsystems, Inc. LSSD interface
US6507925B1 (en) * 2000-05-18 2003-01-14 Sun Microsystems, Inc. Spatial and temporal alignment of a scan dump for debug of scan-based designs
US6694454B1 (en) 2000-06-30 2004-02-17 International Business Machines Corporation Stuck and transient fault diagnostic system
US6640324B1 (en) * 2000-08-07 2003-10-28 Agere Systems Inc. Boundary scan chain routing
US6748565B1 (en) * 2000-10-02 2004-06-08 International Business Machines Corporation System and method for adjusting timing paths
US6877123B2 (en) * 2001-12-19 2005-04-05 Freescale Semiconductors, Inc. Scan clock circuit and method therefor
US7089463B1 (en) 2002-02-20 2006-08-08 Cisco Technology Inc. Test buffer design and interface mechanism for differential receiver AC/DC boundary scan test
US6928727B2 (en) 2002-07-30 2005-08-16 Avx Corporation Apparatus and method for making electrical connectors
US6851954B2 (en) 2002-07-30 2005-02-08 Avx Corporation Electrical connectors and electrical components
US7240260B2 (en) * 2002-12-11 2007-07-03 Intel Corporation Stimulus generation
US7536617B2 (en) * 2005-04-12 2009-05-19 Cisco Technology, Inc. Programmable in-situ delay fault test clock generator
US7631237B2 (en) * 2005-05-23 2009-12-08 Kabushiki Kaisha Toshiba Multi-test method for using compare MISR
DE102006014267A1 (de) * 2006-03-28 2007-10-04 Robert Bosch Gmbh Verfahren zum Testen zumindest einer in einem Steuergerät eingebauten Recheneinheit
US20090217225A1 (en) * 2008-02-22 2009-08-27 Mentor Graphics, Corp. Multi-mode multi-corner clocktree synthesis
US20090199143A1 (en) * 2008-02-06 2009-08-06 Mentor Graphics, Corp. Clock tree synthesis graphical user interface
US9310831B2 (en) 2008-02-06 2016-04-12 Mentor Graphics Corporation Multi-mode multi-corner clocktree synthesis
US8230283B2 (en) * 2009-12-18 2012-07-24 International Business Machines Corporation Method to test hold path faults using functional clocking

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4564943A (en) * 1983-07-05 1986-01-14 International Business Machines System path stressing
US4669061A (en) * 1984-12-21 1987-05-26 Digital Equipment Corporation Scannable flip-flop
US5173864A (en) * 1988-08-20 1992-12-22 Kabushiki Kaisha Toshiba Standard cell and standard-cell-type integrated circuit
US5084874A (en) * 1988-09-07 1992-01-28 Texas Instruments Incorporated Enhanced test circuit
US5018144A (en) * 1989-04-28 1991-05-21 International Business Machines Corporation Logic performance verification and transition fault detection
US5079725A (en) * 1989-11-17 1992-01-07 Ibm Corporation Chip identification method for use with scan design systems and scan testing techniques
US5172377A (en) * 1990-09-07 1992-12-15 Genrad, Inc. Method for testing mixed scan and non-scan circuitry

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271677B1 (en) 1999-04-28 2001-08-07 Matsushita Electric Industrial Company, Limited Semiconductor integrated circuit and method for testing the semiconductor integrated circuit
JP2009109512A (ja) * 2001-02-15 2009-05-21 Syntest Technologies Inc 自己試験中または走査試験中にクロックドメインにまたがる故障を検出するか突き止める複数キャプチャdftシステム
WO2006041052A1 (ja) * 2004-10-13 2006-04-20 International Business Machines Corporation マイクロコンピュータ及びそのlssdスキャンテスト方法

Also Published As

Publication number Publication date
EP0548585A2 (en) 1993-06-30
EP0548585A3 (ja) 1995-01-18
JP2553292B2 (ja) 1996-11-13
US5617426A (en) 1997-04-01

Similar Documents

Publication Publication Date Title
JP2553292B2 (ja) 論理回路テスト装置及び方法
US5717700A (en) Method for creating a high speed scan-interconnected set of flip-flop elements in an integrated circuit to enable faster scan-based testing
US8145964B2 (en) Scan test circuit and scan test control method
US6145105A (en) Method and apparatus for scan testing digital circuits
EP0240719A2 (en) Shift register latch arrangement for enhanced testability in differential cascode voltage switch circuit
US5663966A (en) System and method for minimizing simultaneous switching during scan-based testing
US5748645A (en) Clock scan design from sizzle global clock and method therefor
JP3197026B2 (ja) 遅延試験能力を有する走査可能なレジスタ
US20090240996A1 (en) Semiconductor integrated circuit device
US6640324B1 (en) Boundary scan chain routing
US5701335A (en) Frequency independent scan chain
US5166937A (en) Arrangement for testing digital circuit devices having tri-state outputs
JPH04313119A (ja) 疑似乱数パタン発生器
JP3420142B2 (ja) スキャンパステスト用のフリップフロップ回路
JP3363691B2 (ja) 半導体論理集積回路
KR20010014876A (ko) 양방향성 데이터 교환 동기화 회로 및 그의 스캔 테스트방법
CN114966354A (zh) 扫描链电路及其对应实现方法
US5426649A (en) Test interface for a digital circuit
JP4610919B2 (ja) 半導体集積回路装置
JP2003255025A (ja) 半導体集積回路
JP2005505781A (ja) 複雑な集積回路の自動的なスキャン・ベースのテスト
JP5231065B2 (ja) スキャン用フリップフロップ回路
JP3251748B2 (ja) 半導体集積回路
JP3116832B2 (ja) Lsi検査方式
JP2004037264A (ja) スキャン機能付きフリップフロップ回路およびスキャンテスト回路