JPH038355A - 配置要素配置方法及び装置 - Google Patents

配置要素配置方法及び装置

Info

Publication number
JPH038355A
JPH038355A JP1142234A JP14223489A JPH038355A JP H038355 A JPH038355 A JP H038355A JP 1142234 A JP1142234 A JP 1142234A JP 14223489 A JP14223489 A JP 14223489A JP H038355 A JPH038355 A JP H038355A
Authority
JP
Japan
Prior art keywords
area
placement
data
dimension
arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1142234A
Other languages
English (en)
Inventor
Shunji Kikuchi
菊地 俊二
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP1142234A priority Critical patent/JPH038355A/ja
Publication of JPH038355A publication Critical patent/JPH038355A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) この発明は、レイアウト設計規則の範囲内で、配置領域
へ配置要素をできる限り高密度に配置する方法及び装置
に係り、殊に集積回路(以F、rlcJと略称する。)
や大規模集積回路(以下、[LsIJと略称する。)に
おいて半導体基板上に回路素子や配線などの配置要素を
レイアウト設計規則の範囲内で、できる限り高密度に配
置するための配置要素配置方法及び装置に関する。
(従来の技術) 以下、説明を簡単化するため、LSI技術における配置
要素の配置方法の従来技術及び問題点を代表例として説
明する。
LSIは、数ミリ角の半導体基板上に、トランジスター
 コンデンサー、抵抗等の回路素子を配線で接続してな
る電子回路を、数千ないし数十刃側配置したものである
。このように、高い集積度の電子回路を配置すると、−
枚の半導体基板上に数千ないし数十刃側のLSIを同時
1こ、作ることが可能となるため、LSI当たりの単価
ひいては機能当たりのコストが大幅に低減できる。そし
て集積度が上るにつれて機能当たりのコストは更に低減
できるものと見込まれている。
また、LSIを用いることにより、システムの組立てや
調整のためのコストが低減し、プリント基板や接続に必
要なコネクタの節減ができ、装置が小型軽量化すること
ができる。
また、LSIの内部に配線が取り込まれるから、システ
ムの配線長、寄生容量の減少等により遅延時間の短縮、
低電力化が見込まれる。
さらに、製造工程の中で素子の形成と配線が一体的に済
まされるから、回路の故障率が大幅に低減でき、信頼性
が向上できる等の利点がある。
そのためにLSI(ICの場合も同じ。)の製造に当っ
て、半導体基板上に配置要素をより高密度に集積配置す
ることが要求される。従来の配置要素の配置方法は以下
のような方法により行われていた。
(イ)まず、配置要素の配線の混雑度や、配置位置要求
を考慮し、設計者のLSI設計に関する知識に基づいて
、配置要素間の相対位置を決めた後、配置要素間の相対
位置をグラフに表し、これに各配置要素の寸法を与え、
計算機により配置要素同士が重なり合わない位置を求め
る方法。
(ロ)また、上述したように計算機の助けを借りて、配
置要素間の相対位置を決め、この位置から配置要素を移
動させてレイアウト設#1規則を満足するような最適位
置を探す方法である。
(発明が解決しようとする課題) ところが、上述した(イ)、(ロ)の配置方法はいずれ
も、多種多様の形状・大きさをもつ多数の配置要素を半
導体基板上に配置するものであるから、計算機で取り扱
いやすいように一律に矩形形状で、しかも互いに重なり
合わないなどの設定をしたレイアウトモデルに基づいて
計算していた。したがって、配置要素ごとに最小間隔d
i、最小重なり幅Diの異なるものを、レイアウト設計
規則限度まで詰めて配置することを求める配置方法には
適しない。
また、LSIにおいては、集積規模の増大に伴な06、
設計について設計者の負担が増大するという難点もある
この発明は、上述した従来の配置要素の配置方法の欠点
を除去するためになされたものであり、レイアウト設計
規則を満たす範囲で、配置要素をできる限り高密度に配
置できる配置要素配置方法を提供しようとするものであ
る。
また、この発明はこのような配置要素の配置方法を確実
に実施できる配置要素配置装置を提供しようとするもの
である。
〔発明の構成〕
(課題を解決するための手段) 以上の目的を達成するため、この発明の配置要素配置方
法は、原理上第1図に示すプロセスにより行われる。す
なわち、配置領域データ、配置しようとする配置要素デ
ータ及びレイアウト設計規則データを読み取るプロセス
1と、 前記配置領域の幅方向寸法及び縦方向寸法をそれぞれ、
配置しようとする配置要素の幅方向寸法W及び縦方向寸
法Hの1/2に相当する値だけ縮少して形成される変形
縮少領域Aを求めるプロセス2と、 前記配置領域上の既配置要素の幅方向及び縦方向に対し
それぞれ、配置しようとする配置要素の幅方向寸法W及
び縦方向寸法Hの1/2に相当する値に、レイアウト設
計規則データにしたがい配置しようとする配置要素と既
配置要素の最小間隔dを加えた長さに拡大して形成され
る拡大変形領域群B、及び/又は配置しようとする配置
要素と既配置要素の最大重なり幅りを減じた値の長さに
拡大して形成される拡大変形領域B2を求めるプロセス
3と、 前記各プロセス1.2.3により求めた変形縮少領域A
から変形領域群B、、B2を統合して得られる領域を除
いて形成される配置可能領域へ配置しようとする配置要
素を設けるプロセス4とがらなっている。
また、この発明にかかる配置要素配置装置は原理的に第
2図のブロック図に示す構成になっている。すなわち、
配置領域データ、既配置要素データ及び配置しようとす
る配置要素データ書き込み・読み取り手段11と、レイ
アウト設計規則データ読み取り手段12と、配置領域の
幅方向寸法及び縦方向寸法をそれぞれ、配置しようとす
る配置要素の幅方向寸法W及び縦方向寸法Hの1/2に
相当する値だけ縮少して形成される変形縮少領域A及び
既配置要素の幅方向及び縦方向をそれぞれ、配置しよう
とする配置要素の幅方向寸法W及び縦方向寸法Hの1/
2に相当する値に、レイアウト設計規則データにしたが
い配置しようとする配置要素と既配置要素の最小間隔d
を加えた長さに拡大して形成される拡大変形領域B1及
び配置しようとする配置要素と既配置要素の最小重なり
幅りを減じた長さを加えて形成される拡大変形領域B2
を求める計算手段13と、前記変形縮少領域Aから拡大
変形領域群B、及び/又はB2を統合した領域を除いた
配置可能領域抽出手段14と、さらに前記書き込み読み
取り手段11、レイアウト設計規則データ読み取り手段
12、計算手段13及び配置可能領域抽出手段14をそ
れぞれ指令制御する制御手段15とからなっている。
(作用) 以上のように、この発明の配置要素の配置方法は配置領
域の幅方向及び縦方向寸法をそれぞれ配置しようとする
配置要素の幅方向寸法W及び縦方向寸法Hの1/2に相
当する長さだけ縮少して形成される変形縮少領域Aから
、既配置要素の幅方向及び縦方向に対しそれぞれ、配置
しようとする配置要素の幅方向寸法W及び縦方向寸法H
の1/2に相当する値に、レイアウト設計規則データに
したがい配置しようとする配置要素と既配置要素の最小
間隔dを加えた値の寸法を加えて形成される拡大変形領
域B、及び/又は配置しようとする配置要素と既配置要
素の最小重なり幅りを減じた値の寸法を加えて形成され
る拡大変形領域群B2を統合してなる領域を除いて形成
される配置可能領域として、当該領域へ配置しようとす
る配置要素を設けるから、既配置要素の存在に妨げられ
ることなく、レイアウト設計規則を満足する範囲内で高
密度に配置要素を設置することができる。
また、この発明の配置要素の配置装置は、配置領域デー
タ、既配置要素データ、配置しようとする配置要素デー
タ書き込み・読み取り手段と、レイアウト設計規則デー
タ書き込み・読み取り手段を備えると共に、配置領域の
縮少変形領域A及び既配置要素拡大変形領域群B、、B
2の計算手段を有し、さらに配置領域の縮少変形領域A
から既配置要素拡大変形領域群B、、B2を統合した領
域を除いて形成される配置可能領域を抽出する手段を備
えているから、制御手段の指令制御により、上述した所
定のデータを書き込んでおけば自動的に配置領域を抽出
することができ、従来の配置方法に比べて配置要素を短
時間で、しかもレイアウト設計規則を満する範囲で、限
度ぎりぎりに高密度に設置することができる。
(実施例) 次に、この発明の配置要素の配置装置の一実施例につい
て説明する。
ただし、この発明の配置要素の配置方法の実施例につい
ては、本実施例の配置要素の配置装置が上述した本発明
にかかる配置要素の配置方法を具体化したものであるか
ら、本実施例の装置をもって本発明の配置要素の配置方
法の実施例に代えることとする。
本実施例の配置要素の配置装置は第2図に示されるブロ
ック図と同じ構成になっており、配置要素配置領域デー
タ、既配置要素データ及び配置しようとする配置要素デ
ータ書き込み・読み取り手段11と、レイアウト設計規
則データ書き込み・読み取り手段12と、配置要素配置
領域の幅方向寸法及び縦方向寸法をそれぞれ、配置しよ
うとする配置要素の幅方向寸法W及び縦方向寸法Hの1
/2に相当する値だけ縮少して形成される変形縮少領域
A及び既配置要素の幅方向及び縦方向をそれぞれ、配置
しようとする配置要素の幅方向寸法W及び縦方向寸法H
の1/2に相当する値に、レイアウト設計規則データに
したがい配置しようとする配置要素と既配置要素の最小
間隔dを加えた値に拡大して形成される拡大変形領域B
1及び/又は配置しようとする配置要素と既配置要素の
最小重なり幅りを減じた長さを加えて形成される拡大変
形領域B2を求める計算手段13と、変形縮少領域Aか
ら拡大変形領域群8重、及び又はB2を統合した領域を
除いた配置可能領域を抽出する手段14と、さらに前記
読み取り手段11、レイアウト設計規則データ書き込み
・読み取り手段12、計算手段13及び配置可能領域抽
出手段14をそれぞれ指令制御する制御手段15とから
なっている。
本装置による動作の流れを、第3図のフローチヤードに
したがって説明する。
先ず、制御手段15を操作して、レイアウト設計規則デ
ータ書き込み・読み取り手段12に配置しようとする配
置要素のレイアウト設計規則データを、また、書き込み
・読み取り手段11に配置要素配置領域データと既配置
要素データ及び配置しようとする配置要素データを書き
込んでおく。
次に第3図のフローチャートに示すようにレイアウト設
計規則データを読み出すと共に(ステップ21)、配置
領域データと既配置要素データを読み取る(ステップ2
2.23)。さらに、その後配置しようとする配置要素
101(第4図参照)の形状データ(幅寸法W、縦寸法
H)を読み込む。
この形状データを基に、配置領域100を幅方向に対し
てはW/2、縦方向に対してはH/2だけ縮少して縮少
変形領域Aを求める(ステップ25及び第5図参照)。
ついで、レイアウト設計規則データから、配置しようと
する配置要素りと既配置要素102の最小間隔dを読み
取り(第6図(a)) 、第6図(b)に示すごとく、
既配置要素102の幅方向及び縦方向外延にそれぞれ配
置要素101と既配置要素102の最小間隔dを加味し
た値(第6図(a)参照)だけ拡大し、幅方向寸法21
及び縦方向寸法e2をそれぞれ、 2I −丁+d 12−−7f+d に拡大した拡大変形領域B、を求め、これら既配置要素
群の各拡大変形領域を統合して第6図(b)及び第7図
に示すような拡大変形領域BI a、Bb、B1  c
を計算する(ステップ24)。
そして、最後に縮少変形領域Aから拡大変形領域群81
  a、B1 b、BI Cを取り除いて得られる領域
を配置可能領域Cとして出力する(ステップ25)。
上述した実施例では、レイアウト設計規則データにおけ
る配置しようとする配置要素101と既配置要素102
の最小間隔がdの場合について説明したが、配置要素1
01と既配置要素102の最小重なり幅がDの場合は、
第8図(a)、(b)に示すごとく既配置要素102の
幅方向及び縦方向外延に、それぞれ、 2゛1−子−d jl!’ 2−−+d だけ拡大形成した拡大変形領域B2を求める。
そして、各拡大変形領域B2を統合した拡大変形領域B
2 a、B2 b、B2 c、 ・=を計算した後、最
後に、縮少変形領域Aから拡大変形領域B2 a。
B2 b、B2 cを取り除いて得られる領域を配置可
能領域Cとして出力してもよい。
さらに、上述した実施例は、既配置要素102と配置し
ようとする配置要素101の最小間隔d又は最小重なり
幅りだけの場合について配置可能領域Cを求める例につ
いて示したが、レイアウト設計規則データ上、既配置要
素102群と配置要素101群とが互いに最小間隔dを
隔てて配置しているグループと、最小重なり幅りを有し
て配置しているグループが混在されて配置されている場
合には、上述した場合同様、それぞれのグループについ
て拡大変形領域B、、B2を求め、最後にこれらを統合
して得られる領域Bを縮少変形領域Aから取り除いて得
られる領域を配置可能領域として出力してもよい。
なお、上述した実施例はいずれも配置領域100に、既
配置要素102が存在している場合に配置要素を配置す
る例について説明したが、本発明はこのような場合に限
らず、既配置要素がない場合にも適用できることはいう
までもない。
この場合には、既配置要素データの書き込みや読み取り
がなされず、レイアウト設計規則データから、既配置要
素と配置要素との間の最小間隔d及び最小重なり幅りを
考慮する必要がなく、変形縮少領域が配置可能領域とし
て出力される。
また、配置領域の変形は配置しようとする要素101の
形状に従って変形を行う。既配置要素102の変形は配
置しようとする要素の形状に従って各既装置要素を変形
する。これらの変形には既に良く知られた幾何学データ
を操作するアルゴリズムを応用することで実現できる。
図形の引き算を行うには変形された配置領域から同様に
既装置領域を変形して作られる領域群を取り除く操作を
行い、これも既に良く知られた幾何学データを操作する
アルゴリズムを応用することで実現できる。
書き込み・読み取り手段では変形された配置領域から変
形された既配置領域群を取り除いてできる領域群を配置
可能領域として書き出す。
本実施例では、既装置要素と配置しようとする要素との
間のレイアウト設計規則は既装置要素の幅方向、縦方向
とも同じ値として説明したが幅方向と縦方向で異なる規
則がある場合にはレイアウト設計規則で定められた値d
 (D)を幅方向、縦方向で異なる値にすることで矛盾
な(取り扱える。
本実施例では既装置要素を変形してできる領域を統合し
てから配置領域の変形領域から取り除く例について説明
したが、本発明はこれに限らず統合せずに取り除いてい
く応用も可能である。
また、本実施例では配置要素の回転に関しては特に述べ
なかったが、配置しようとする配置要素を事前に回転し
ておくことで矛盾なく取り扱える。
この様な回転処理の必要性は配置の状況に依存するため
、回転を行わない場合について配置可能領域を発見し、
仮に配置を行い、回転を行った場合について配置可能領
域を発見し、仮に配置を行い、比較し選択するなどの応
用ども考えられる。
また、配置領域の境界と配置要素の間にあるスペースが
必要な場合には既装置要素と同様にそのスペースを加味
し配置領域を拡大縮小することで矛盾なく扱える。
さらに、上述した半導体基板上にLSIを作る場合だけ
でなく、床面にタイルを配置する場合にも適用できる。
〔発明の効果〕 以上の説明から明らかなごとく、この発明にかかる配置
要素配置方法は、配置しようとする配置要素を、配置領
域を当該配置要素の幅方向寸法W及び縦方向寸法Hの1
/2だけ縮少した縮少変形領域Aからレイアウト設計規
則データを考慮に入れて既装置要素と配置要素の最小間
隔d及び/又は最小項なり幅りを考慮に入れて既装置要
素を拡大変形した領域の統合領域Bを除外して形成され
る領域を配置可能領域Cとして配置するから、レイアウ
ト設計規則データを全く考慮しないで配置する従来の配
置要素配置方法に比べて、レイアウト設計規則データの
範囲内で、高密度の配置を行うことができる。しかも、
配置領域、配置しようとする配置要素及び既装置要素並
びにレイアウト設計規則データから電子計算機の助けに
より、縮少変形領域A、拡大変形領域Bを求め、配置可
能領域Cが容易に求められるから、レイアウト設計規則
の範囲で、配置要素を高密度に詰めて配置することがで
きる。
しかも、従来の配置要素配置方法が設計台の知識に基づ
いて設計していたために生じるエラーがなくなり、エラ
ー検証、エラー修正のために必要な時間の浪費もなくす
ることができ、製品の早期市場投入が可能となった。ま
た、設計者はレイアウト設計時の過度の集中力を必要と
する作業から解放でき、労務管理の面での効果も顕著で
ある。
また、この発明の配置要素配置装置は、レイアウト設計
規則データ書き込み・読み取り手段、配置領域データ、
既装置要素データ、配置しようとする配置要素データ書
き込み・読み取り手段に配置領域の縮少変形領域、既装
置要素の拡大変形領域を計算する計算手段、配置可能領
域抽出手段並びに制御手段を有しているから、配置領域
、既装置要素、配置しようとする配置要素並びにレイア
ウト設計規則データの実情に応じて、迅速に配置可能領
域を抽出し、配置要素を配置領域へ設置することができ
る利点を有している。
【図面の簡単な説明】
第1図はこの発明にかかる配置要素の配置方法のプロセ
スの原理図、第2図はこの発明にががる配置要素の配置
装置の原理的構成を示すブロック図、第3図は実施例の
配置要素配置装置の作業動作の流れを示すフローチャー
ト、第4図は配置領域へ配置しようとする配置要素の形
状・寸法を示す平面図、第5図は配置領域の縮少変形領
域の形状・寸法を示す平面図、第6図(a)、(b)は
それぞれ既装置要素と配置しようとする配置要素の最小
間隔の関係を示す説明図及び拡大変形領域の平面説明図
、第7図は配置可能領域抽出過程平面説明図、第8図(
a)(b)はそれぞれ配置しようとする既装置要素と配
置しようとする配置要素の最小重なり幅の関係を示す説
明図及び拡大変形領域の平面説明図である。 100・・・配置領域、 101・・・配置しようとする配置要素、102・・・
既装置要素、 A・・・縮少変形領域、 B1 、B1 a、BI b、B1  c−・最小間隔
を考慮に入れた既装置要素の拡大変形領域を統合した領
域、 B2 、B2 a、B2 b、B2 c−最小重なり幅
を考慮に入れた既装置要素の拡大変形領域を統合した領
域、 C・・・配置可能領域、 d・・・配置しようとする配置要素と既装置要素の最小
間隔、 D・・・配置しようとする配置要素と既装置要素の最小
重なり幅、 11・・・配置領域データ、既装置要素データ、配置し
ようとする配置要素データ書き込み・読み取り手段、 12・・・レイアウト設計規則データ書き込み・読み取
り手段、 13・・・配置領域縮少変形領域及び既装置要素拡大変
形領域変形手段、 14・・・配置可能領域抽出手段、 15・・・制御手段。

Claims (4)

    【特許請求の範囲】
  1. (1)配置領域データ、配置領域上の既配置要素データ
    、配置しようとする配置要素データ及びレイアウト設計
    規則データを読み取るプロセスと、配置領域の幅方向寸
    法及び縦方向寸法をそれぞれ、配置しようとする配置要
    素の幅方向寸法W及び縦方向寸法Hの1/2に相当する
    長さだけ縮少した変形縮少領域Aを求めるプロセスと、 前記配置領域上の既配置要素の幅方向及び縦方向に対し
    それぞれ、配置しようとする配置要素の幅方向寸法W及
    び縦方向寸法Hの1/2に相当する値に、レイアウト設
    計規則データにしたがい配置しようとする配置要素と既
    配置要素の最小間隔dを加えた長さに拡大して形成され
    る拡大変形領域群B_1及び配置しようとする配置要素
    と既配置要素の最小重なり幅Dを減じた長さに拡大して
    形成された拡大変形領域群B_2を求めるプロセスと、
    前記各プロセスにより求めた変形縮少領域Aから拡大変
    形領域群B_1をB_2を統合して得られる領域を除い
    て形成される配置可能領域へ配置しようとする配置要素
    を設けることを特徴とする配置要素配置方法。
  2. (2)配置領域データ、配置領域上の既配置要素データ
    、配置しようとする配置要素データ及びレイアウト設計
    規則データを読み取るプロセスと、前記配置領域の幅方
    向寸法及び縦方向寸法をそれぞれ、配置しようとする配
    置要素の幅方向寸法W及び縦方向寸法Hの1/2に相当
    する長さだけ縮少した変形縮少領域Aを求めるプロセス
    と、前記配置領域上の既配置要素の幅方向及び縦方向に
    対しそれぞれ、配置しようとする配置要素の幅方向寸法
    W及び縦方向寸法Hの1/2に相当する値に、レイアウ
    ト設計規則データにしたがい配置しようとする配置要素
    と既配置要素の最小間隔dを加えた長さに拡大して形成
    される拡大変形領域群B_1を求めるプロセスと、 前記各プロセスにより求めた変形縮少領域Aから拡大変
    形領域群B_1を統合して得られる領域を除いて形成さ
    れる配置可能領域へ配置しようとする配置要素を設ける
    ことを特徴とする配置要素配置方法。
  3. (3)配置領域データ、配置領域上の既配置要素データ
    、配置しようとする配置要素データ及びレイアウト設計
    規則データを読み取るプロセスと、前記配置領域の幅方
    向寸法及び縦方向寸法をそれぞれ、配置しようとする配
    置要素の幅方向寸法W及び縦方向寸法Hの1/2に相当
    する長さだけ縮少した変形縮少領域Aを求めるプロセス
    と、前記配置領域上の既配置要素の幅方向及び縦方向に
    対しそれぞれ、配置しようとする配置要素の幅方向寸法
    W及び縦方向寸法Hの1/2に相当する長さから、レイ
    アウト設計規則データにしたがい、配置しようとする配
    置要素と既配置要素の最小重なり幅Dを減じた長さを加
    えて形成される拡大変形領域群B_2を求めるプロセス
    と、 前記各プロセスにより求めた変形縮少領域Aから拡大変
    形領域群B_2を統合して得られる領域を除いて形成さ
    れる配置可能領域へ、配置しようとする配置要素を設け
    ることを特徴とする配置要素配置方法。
  4. (4)配置領域データ、既配置要素データ及び配置しよ
    うとする配置要素データ書き込み・読み取り手段と、 レイアウト設計規則データ書き込み、読み取り手段と、 配置領域の幅方向寸法及び縦方向寸法をそれぞれ、配置
    しようとする配置要素の幅方向寸法W及び縦方向寸法H
    の1/2に相当する値だけ縮少して形成される変形縮少
    領域A及び既配置要素の幅方向及び縦方向をそれぞれ、
    配置しようとする配置要素の幅方向寸法W及び縦方向寸
    法Hの1/2に相当する値に、レイアウト設計規則デー
    タにしたがい配置しようとする配置要素と既配置要素の
    最小間隔dを加えた長さに拡大して形成される拡大変形
    領域群B_1及び配置しようとする配置要素と既配置要
    素の最小重なり幅Dを減じた長さを加えて形成される拡
    大変形領域群B_2を求める計算手段と、 前記変形縮少領域Aから拡大変形領域群B_1及び/又
    はB_2を統合した領域を除いて形成される配置可能領
    域抽出手段と、 前記書き込み・読み取り手段、レイアウト設計規則デー
    タ読み取り手段、計算手段及び配置可能領域抽出手段を
    それぞれ指令制御する制御手段とからなることを特徴と
    する配置要素配置装置。
JP1142234A 1989-06-06 1989-06-06 配置要素配置方法及び装置 Pending JPH038355A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP1142234A JPH038355A (ja) 1989-06-06 1989-06-06 配置要素配置方法及び装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1142234A JPH038355A (ja) 1989-06-06 1989-06-06 配置要素配置方法及び装置

Publications (1)

Publication Number Publication Date
JPH038355A true JPH038355A (ja) 1991-01-16

Family

ID=15310547

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1142234A Pending JPH038355A (ja) 1989-06-06 1989-06-06 配置要素配置方法及び装置

Country Status (1)

Country Link
JP (1) JPH038355A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5088722A (en) * 1990-12-10 1992-02-18 Eastman Kodak Company Diverter assembly

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5088722A (en) * 1990-12-10 1992-02-18 Eastman Kodak Company Diverter assembly

Similar Documents

Publication Publication Date Title
US7065731B2 (en) Removal of acute angles in a design layout
Weste Virtual grid symbolic layout
US7007258B2 (en) Method, apparatus, and computer program product for generation of a via array within a fill area of a design layout
US4580228A (en) Automated design program for LSI and VLSI circuits
US5638293A (en) Optimal pad location method for microelectronic circuit cell placement
KR20020027363A (ko) 집적회로의 변형방법
JP2007188488A (ja) パッキングベースのマクロ配置方法とそれを用いた半導体チップ
US8219959B2 (en) Generating integrated circuit floorplan layouts
US11334705B2 (en) Electrical circuit design using cells with metal lines
US6330704B1 (en) Method and apparatus for three dimensional interconnect analysis
JPH0731695B2 (ja) 半導体集積回路装置のマスクパターンのコンパクション処理方法
Cho et al. FLOSS: An approach to automated layout for high-volume designs
US6665846B2 (en) Method and configuration for verifying a layout of an integrated circuit and application thereof for fabricating the integrated circuit
US6820048B1 (en) 4 point derating scheme for propagation delay and setup/hold time computation
US7243328B2 (en) Method and apparatus for representing items in a design layout
JPH038355A (ja) 配置要素配置方法及び装置
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US6542834B1 (en) Capacitance estimation
US20040225989A1 (en) Representation of wiring in a design layout
JP3389196B2 (ja) 機能ブロック端子の分割方法とこの方法を記録した記録媒体及びこの方法による自動配線処理装置
CN116547810A (zh) 用于混合高度单元库的定制平铺的放置结构的适应性行图案
JP2525789B2 (ja) Lsiのレイアウト設計装置
JP2638276B2 (ja) 電子回路の配線間容量の算出方法
WO2004102339A2 (en) Removal of acute angles in a design layout
US11092885B2 (en) Manufacturing methods of semiconductor devices