JPH03117115A - ダイレクトデジタル周波数シンセサイザ - Google Patents

ダイレクトデジタル周波数シンセサイザ

Info

Publication number
JPH03117115A
JPH03117115A JP2224137A JP22413790A JPH03117115A JP H03117115 A JPH03117115 A JP H03117115A JP 2224137 A JP2224137 A JP 2224137A JP 22413790 A JP22413790 A JP 22413790A JP H03117115 A JPH03117115 A JP H03117115A
Authority
JP
Japan
Prior art keywords
frequency
phase
accumulator
digital
analog
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2224137A
Other languages
English (en)
Inventor
Bjorn E Bjerede
ブジョーン・エリック・ブジャーデ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Titan Linkabit Corp
Original Assignee
Titan Linkabit Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Titan Linkabit Corp filed Critical Titan Linkabit Corp
Publication of JPH03117115A publication Critical patent/JPH03117115A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/022Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2211/00Indexing scheme relating to details of data-processing equipment not covered by groups G06F3/00 - G06F13/00
    • G06F2211/902Spectral purity improvement for digital function generators by adding a dither signal, e.g. noise

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Analogue/Digital Conversion (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は一般に電気通信で使用される周波数シンセサイ
ザに関し、および特にダイレクトデジタル周波数シンセ
サイザの改善を指向している。
(従来の技術および発明が解決しようとする課題)ダイ
レクトデジタル周波数シンセサイザは、屍算されたとき
に予め定められた周波数の正弦波形の位相を示す累算さ
れたデジタル周波数ワードから前記予め定められた周波
数のアナログ波形を発生させる。第1図を参照すると、
典型的な従来技術のダイレクトデジタル周波数シンセサ
イザが、デジタル周波数ワード12を累算するための位
相アキュムレータlOと、累算された位相値16を予め
定められた周波数のアナログ波形18に変換するための
位相から振幅への変換器14とを具備することが示され
る。位相から振幅への変換器14は読出専用メモリ(R
OM ) 20と、ROM20の出力24をアナログ波
形18に変換するための線形のデジタルからアナログへ
の変換器CDAC) 22とを具備する。ROM20は
位相アキュムレータ10内で累算された位相値をデジタ
ルワード24へ変換し、そのワードはDAC22によっ
て変換されるときに、アナログ信号振幅を位相アキュム
レータlO内の位相値によって現された正弦波波形の位
相角に対して提供する。クロック2Bは、位相アキュム
レータIOおよび位相から振幅への変換器14の演算を
クロックする。
位相アキュムレータ10はmビットの長さを有し、クロ
ック26によってクロックレイトfcで駆動される。各
クロックパルスで、kビットの長さを有する周波数ワー
ド12はアキュムレータlO内に存在する値に加えられ
る。アキュムレータ1θ内の値はこの率で、2″′−1
を超過するすべてのビットをあふれて失うまで増加する
。いかなる時間のアキュムレータIO内の位相値も、2
πラジアンの範囲にわたる正弦波波形のその瞬間の位相
角を示す。
この位相値はROM20によって実行されたルックアッ
プテーブルへのアドレスの形で、その瞬間のサインの値
或いは振幅に対応する振幅を有するデジタルワード24
への変換のためにROM20へ提供される。
ROM20によって提供されたデジタルワードはDAC
22によってアナログ波形18へ変換される。
ROM20内のルックアップテーブルに含まれた有限個
の振幅は、異なった位相値における所望された正弦波波
形振幅に密に接近する。
ローパスフィルタ28は、受容可能なレベルにまで結果
として生じた雑音と疑似信号と波形18の高調波とを減
少するためにDAC22の出力に結合される。そしてそ
れによって、累算された周波数ワード12によって示さ
れた周波数でのアナログ出力信号30を提供する。
異なった位相値2mがある。そして実際の出力周波数は
gXf。/2“であり、ここでgは1乃至2ffiの範
囲であり得る。周波数分解能もまたfc/2”に等しく
、これは分解能の要求が一般にfcとmを変えることに
よって満たされ得ることを意味する。これは見本にされ
たデータシステムであるので、1/2f、を超過する単
一の出力正弦波周波数を提供することは不可能であり、
1/3fcを超過する周波数はローパスフィルタ28に
対する実際の限界とみなされる。
位相アキュムレータ10は、各クロック周期当り1度周
波数ワードを加えることによって位相計算を実行する。
そのワードは所望された出力周波数すなわちアキュムレ
ータlOの内容に比例する。
実際には、位相アキュムレータ10からのあらゆるビッ
トを維持する。 、できない(或いは必要ではない);
そこで位相アキュムレータ10は、粗い成分ア、1′−
ユムレータと細かい成分アキュムレータとに分割される
。全mビットは粗い成分アキュムレ・  ゛・のCビッ
トと細かい成分アキュムレータト1   ビットとに分
割される。粗い成分アキュムレータのCビットは位相ア
キュムレータ出力の1周期に対する位相値を決定するた
めのみに使用され、それによって位相分解能は2π/2
°ラジアンに限定される。粗い成分アキュムレータと細
かい成分アキュムレータの両者は、fcの周波数で演算
するためにクロック26によってクロックされる。粗い
成分アキュムレータが提供し得る最小の周波数はfe/
2°Hzである。細かい成分アキュムレータは周期的に
キャリイインを粗い成分アキュムレータのLSBである
2π/2゛ラジアンへ加えることによって、より細かい
周波数分解能を提供する。細かい成分アキュムレータが
fビットで構成され、また最小の繰上げ率f、−で演算
するならばそれはfe/2’となり得る。各粗いLSB
の値が2π/2cラジアンであるとき、ローアキュムレ
ータによって加えられる最小平均周波数は、2π/2’
 X fe/2’ラジアン/秒或いはf c / 2 
”’ Hzである。m−c+fであるので、全分解能は
最小のステップのサイズ同様、分割によって変化されな
いままである。
粗い成分アキュムレータ(Cビット)からのビットは、
2π/2°ラジアンの分解能を有する必要な位相情報を
示すためのみに使用される。アキュムレータの残りの細
かい成分アキュムレータのビット(fビット)がROM
2Gによって無視されるとき、出力位相機能は一般に、
位相アキュムレータ10内に含まれる全位相機能に関す
る位相誤差を持つ。RO旧こ対する正確な正弦ルックア
ップテーブルが存在しないので、正弦ルックアップテー
ブルに近似することだけができ、その結果不必要な誤差
スパー(spur) (疑似信号)が生じる。さらに、
位相誤差は結局やや周期的であり、その結果比カスベク
トル内に疑似ライン或いは位相変調(PM)スパーの影
響が生じる。
DAC22の幅(ビットの数)はデジタルからアナログ
への変換の量子化によるアナログ波形18内に振幅スパ
ーのレベルを決定し、その結果ビット状態の間の時間の
歪みはグリッチ・エネルギ・スパーを生じる。より高い
特定化されたクロックレイトでは、これらの各因子はシ
ンセサイザ最大演算周波数と出力疑似レベル抑圧の両者
を限定できる。
DAC22は無限の振幅分解能を提供することができな
いので、周期的な時間誤差が生じ、それはまた個別の疑
似ラインとして出カスベクトルに現れる。
DAC22が完全な転送機能をそのデジタル出力とアナ
ログ出力との間に提供できないという事実は、結果とし
て多様な誤差を生じさせる。
出カスベクトルに影響する誤差は積分線形性(Nステッ
プは最下位LSBのNである)であり、微分線形性(1
つのLSBでステップからステップへ)であり、非単調
誤差(1つのステップは誤った方法に行く)であり、そ
して“グリッチ“であり る。“グリッチ”以外のすべての用語は半静的誤差と呼
ばれ、振幅誤差として扱われることができる。
どの実際のDACも、不正確なレベル制御のせいで付加
的な誤差を誘発する。しかし、3つの誤差が理想的な値
と比較して±1 / 2 LSHの水準であるような限
りは、疑似レベルはここで予言される値に非常に接近し
ている。
“グリッチ° という用語は、出力が1つのステップか
ら次のステップへスムーズに進まないDACの特性と同
じである。むしろ、デジタル情報をアナログレベルに変
換するために使用されるDAC内のすべての電流スイッ
チが正確には同一の瞬間にスイッチしないという事実に
より、電流の短いスパイクが移行的なエツジでの出力に
観察される。
グリッチの量はボルト・秒の単位で表示され、それは別
のDACのグリッチと比較する際の利点を与える。この
値の範囲は従来の技術の8ビツトDACに対して 2.
5から25ピコボルト秒である。
グリッチの発生時間は短いので、それらが出力 0 に達するのを防ぐために、サンプルおよび留保回路がと
きどきローパスフィルタより先に出力に加えられる。別
の方法は、立上り速度の限定のせいでグリッチに追従す
ることができないようなオペレーションアンプをDAC
のあとに接続することである。
位相のおよび振幅のスパーを一70dBc以下に抑制す
るために、12ビツトの粗い成分アキュムレータおよび
11ビツトDACが必要とされる。
[発明の構成] (課題を解決するための手段) 本発明は、累算されたときに前記予め定められた周波数
の周期的な波形の位相を示す累算されたデジタル周波数
ワードから予め定められた周波数のアナログ波形を、発
生させるための、改善されたダイレクトデジタル周波数
シンセサイザである。
本発明の周波数シンセサイザは、デジタル周波数ワー、
ドの粗い位相成分を累算するためのCビットの粗い成分
アキュムレータと、デジタル周波数ワードの細かい位相
成分を累算するための手段と、1 予め定められた値を超過する累算された細かい位相成分
に応じて粗い成分アキュムレータを増加するための手段
と、粗い成分アキュムレータで累算されたCビットを前
記予め定められた周波数アナログ波形に変換するための
Cビットの非線形のデジタルからアナログへの変換器と
、粗い成分アキュムレータが前記増加手段によって増加
する率をランダムにデイザさせることによってアナログ
波形内の疑似周波数成分の発生を抑制するための手段と
を具備する。
累算する手段の4ビツトの出力は正確に16の個別の位
相(n X 22.5@でn−0乃至15)に対応する
ので、正弦波に対する所望された出力振幅は8つの異な
った値のみを採る。その値とは0、±A 、  5in
22.5@  ±A o  5in45@±A o 5
in67.5°、Ao−であり、ここでA。は基準電圧
である。たとえ12ビツトのDACが4096の個別の
値を発生させることができるとしても、これら8つの値
のみがずっと使用される。ROMと従来の技術のシンセ
サイザ内の位相から振幅への変換 2 器のDACとが結合された効果を考慮したとき、その機
能は4ビツトのデジタル−位相からアナログ−振幅への
変換器と等価であることが明確になる。振幅のスパーは
これらの個別の電圧が発生され得るような精度に依存し
、入力ビットの数には依存しない。104変換精度に対
して、振幅スパーは一70dBcより下であろう。
本発明のダイレクトデジタルシンセサイザの非線形DA
Cは、ルックアップROMの助けなしに必要とされるよ
うな正弦波アナログ波形振幅を正確に発生させる。結果
として本発明のダイレクトデジタルシンセサイザは、R
OMおよび線形の12ビツトDACを使用する一方全く
同一の疑似信号を抑制するような従来の技術のダイレク
トデジタルシンセサイザよりもはるかに複雑でない。
本発明のダイレクトデジタルシンセサイザはまた、より
良い位相雑音特性を有し、そして振幅デイザされたRO
Mを使用した線形DACよりも複雑でない。本発明の別
の態様において、周波数シンセサイザは、デジタル周波
数ワードの位相成分を累 3 算するためのmビットの累算手段と、mビットの累算手
段内で累算された最上位ピッ)nのみを前記予め定めら
れた周波数のアナログ波形に変換するためのnビットの
非線形のデジタルからアナログへの変換器とを具備する
。ここで“n は少なくとも2であり、mはnよりも大
きい。
(実施例) 第2図を参照すると、本発明によるダイレクトデジタル
シンセサイザは、位相アキュムレータ4゜と、非線形D
AC42と、サンプルおよび留保回路44と、クロック
45と、バッファ増幅器46とを具備する。位相アキュ
ムレータ40は、Cビットの粗い成分アキュムレータ4
8とfビットの細かい成分アキュムレータ50とを具備
する。
位相アキュムレータ40は、kビットのデジタル周波数
ワード52の位相成分を累算するためのmビットアキュ
ムレータである。デジタル周波数ワード52は、累算さ
れたように予め定められた周波数の正弦波の位相を示す
Cビットの粗い成分アキュムレータ48はデジタ 4 ル周波数ワード52の粗い位相成分を累算する。そして
fビットの細かい成分アキュムレータはデジタル周波数
ワード52U)   ・い位相成分を累算する。
位相アキュムレータ40は、予め定められた値を超過す
る細かい成分アキュムレータ50内の累算された細かい
位相成分に応じた粗い成分アキュムレタ4,7を1曽カ
ロさせる。
cしントの粗い成分アキュムレータ48はCビット出力
54を提供する。好ましい実施例で““c”が4である
のは、上述されたようにCの値4が振幅スパーを一70
dBcの下にさせ得るような104変換精度を提供する
からである。
非線形DAC42はCビット非線形DACである。それ
は、粗い成分アキュムレータ48で累算されたCビット
54を予め定められた周波数の正弦波アナログ波形56
に変換する。
位相アキュムレータ40は、アナログ波形内の疑似周波
数成分の発生を、粗い成分アキュムレータ48が位相ア
キュムレータ40によって増加されるような位相でラン
ダムにデイザする5 ことによって抑制する。好ましいデイザの技術は、ka
rt E、Moerderによって本発明と同一日付で
米国で出願された別出願米国特許明細書″Phase 
Accumulator With Dithered
Incrementing Of’ AccuIIlu
lation Due To PinePhase C
omponent″に記載されている。
サンプルおよび留保回路44は、グリッチに誘発された
誤差が存在しないときにアナログ信号56をサンプルす
ることによって、非線形DAC42内のグリッチによっ
て誘発されるスパーを緩和する。サンプルおよび留保回
路44は、非線形DAC42のクロックレイトの際の少
量だが繰返される誤差のパターンを有する。これらの誤
差はクロックの倍数であり、予め定められた周波数のア
ナログ出力信号58を提供するための、疑似周波数成分
が抑制されそしてグリッチによって誘発されたスパーが
緩和されるようなバッファ増幅器およびフィルタ46内
のフィルタによって濾過される。
クロック45は、位相アキュムレータ40の演算と、粗
い成分アキュムレータ48のCビット出力54を非 6 線形DAC42ヘレジスタすることと、サンプルおよび
留保回路44によるアナログ信号56のサンプリングと
をクロックする。
位相アキュムレータ40は1つの集積回路チップ内で動
作する。非線形DAC42とサンプルおよび留保回路4
4は第2の集積回路チップ内で動作し、そしてバッファ
増幅器およびフィルタ46は第3の集積回路チップ内で
動作する。
これら3つの集積回路チップの間の内部の相互連結は、
位相アキュムレータチップと非線形DACを有するチッ
プの間のちょうど4つのラインから構成されるが、非線
形DACチップとバッファ増幅器およびフィルタチップ
の間には単一のラインが存在する。これは自己検査およ
び故障分離を著しく簡易化する。非常に高速度の回路の
故に、相籠連結は薄膜ハイブリッド実装方法を志向する
伝送ラインでなければならない。3つのチップはすべて
、個別のG、A、素子の存在を使用して具体化される。
 7
【図面の簡単な説明】
第1図は従来の技術のダイレクトデジタル周波数シンセ
サイザのブロック図、第2図は本発明のダイレクトデジ
タル周波数シンセサイザのブロック図である。 40・・・位相アキュムレータ、42・・・非線形DA
C、44・・・サンプルおよび留保回路、48・・・粗
い成分アキュムレータ、50・・・細かい成分アキュム
レータ。

Claims (6)

    【特許請求の範囲】
  1. (1)デジタル周波数ワードの粗い位相成分を累算する
    ためのcビットの粗い成分アキュムレータと、 デジタル周波数ワードの細かい位相成分を累算するため
    の手段と、 予め定められた値を超過する累算された細かい位相成分
    に応じた粗い成分アキュムレータを増加するための手段
    と、 粗い成分アキュムレータ内で累算されたcビットを前記
    予め定められた周波数のアナログ波形に変換するための
    cビットの非線形のデジタルからアナログへの変換器と
    、 アナログ波形内での疑似周波数成分の発生を、粗い成分
    アキュムレータが前記増加手段によって増加する率をラ
    ンダムにディザ(dither)することによって抑制
    するための手段とを具備する、累算されたときに予め定
    められた周波数の周期的波形の位相を示す累算されたデ
    ジタル周波数ワードから前記予め定められた周波数のア
    ナログ波形を発生させるための周波数シンセサイザ。
  2. (2)“c”が4に等しい、請求項1記載の周波数シン
    セサイザ。
  3. (3)非線形のデジタルからアナログへの変換器内で誘
    発されたどんなグリッチ(glitch)誤差をも、グ
    リッチに誘発された誤差が存在しないときにアナログ波
    形をサンプリングすることによって軽減するための非線
    形のデジタルからアナログへの変換器の出力に結合され
    たサンプルおよび留保手段をさらに具備する請求項1記
    載の周波数シンセサイザ。
  4. (4)デジタル周波数ワードの位相成分を累算するため
    のmビットの累算手段と、 mビットの累算手段内で累算された最上位ビットnのみ
    を予め定められた周波数のアナログ波形に変換するため
    の、“n”は少なくとも2であり、mはnよりも大きい
    nビットの非線形のデジタルからアナログへの変換器と
    を具備する、累算されたときに前記予め定められた周波
    数の周期的波形の位相を示す累算されたデジタル周波数
    ワードから前記予め定められた周波数のアナログ波形を
    発生させるための周波数シンセサイザ。
  5. (5)“n”が4に等しい、請求項4記載の周波数シン
    セサイザ。
  6. (6)非線形のデジタルからアナログへの変換器内に誘
    発されたどんなグリッチ誤差をも、グリッチに誘発され
    た誤差が存在しないときにアナログ波形をサンプリング
    することによって軽減するための非線形のデジタルから
    アナログへの変換器の出力に結合されたサンプルおよび
    留保手段をさらに具備する請求項4記載の周波数シンセ
    サイザ。
JP2224137A 1989-08-25 1990-08-24 ダイレクトデジタル周波数シンセサイザ Pending JPH03117115A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US398,735 1982-07-15
US07/398,735 US5073869A (en) 1989-08-25 1989-08-25 Suppression of spurious frequency components in direct digital frequency synthesizer

Publications (1)

Publication Number Publication Date
JPH03117115A true JPH03117115A (ja) 1991-05-17

Family

ID=23576590

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2224137A Pending JPH03117115A (ja) 1989-08-25 1990-08-24 ダイレクトデジタル周波数シンセサイザ

Country Status (6)

Country Link
US (1) US5073869A (ja)
EP (1) EP0414445B1 (ja)
JP (1) JPH03117115A (ja)
AU (1) AU629025B2 (ja)
CA (1) CA2023323A1 (ja)
DE (1) DE69016308T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001298327A (ja) * 2000-02-10 2001-10-26 Anritsu Corp 周波数シンセサイザおよびガウス雑音発生装置
JP2008002161A (ja) * 2006-06-22 2008-01-10 Zen Giken Kk 高負荷用鉄筋交差部締結具

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5146473A (en) 1989-08-14 1992-09-08 International Mobile Machines Corporation Subscriber unit for wireless digital subscriber communication system
US5212817A (en) * 1990-09-14 1993-05-18 Atkinson Noel D Ultra high speed scan system
US5495505A (en) * 1990-12-20 1996-02-27 Motorola, Inc. Increased frequency resolution in a synthesizer
US5276633A (en) * 1992-08-14 1994-01-04 Harris Corporation Sine/cosine generator and method
US5459680A (en) * 1993-10-20 1995-10-17 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method and apparatus for spur-reduced digital sinusoid synthesis
US5602874A (en) * 1994-12-29 1997-02-11 Motorola, Inc. Method and apparatus for reducing quantization noise
JPH08201449A (ja) * 1995-01-24 1996-08-09 Advantest Corp スペクトラムアナライザ
US5673212A (en) * 1995-09-01 1997-09-30 Motorola, Inc. Method and apparatus for numerically controlled oscillator with partitioned phase accumulator
US5731773A (en) * 1996-06-05 1998-03-24 Mccune, Jr.; Earl W. Half-order hold direct digital frequency synthesis
GB9616537D0 (en) * 1996-08-06 1996-09-25 Digi Media Vision Ltd Digital synthesiser
JP2001516981A (ja) 1997-09-10 2001-10-02 シーメンス アクチエンゲゼルシヤフト 調整可能な周波数を有する信号を形成する回路
TW338206B (en) * 1997-10-02 1998-08-11 Nat Science Council The directing digital frequency synthesizer
US6320431B1 (en) 1999-10-08 2001-11-20 National Semiconductor Corporation Apparatus and method of generating numerically controlled oscillator signals
DE60107129T2 (de) * 2000-02-10 2005-10-20 Anritsu Corp. Frequenzsynthetisierer und Rauschgenerator zur Erzeugung von Gauss-Geräuschen mit diesem Frequenzsynthetisierer
EP1215557B1 (fr) * 2000-12-15 2009-12-02 Asulab S.A. Oscillateur à commande numérique notamment pour un récepteur de signaux radiofréquences
TW531952B (en) 2000-12-15 2003-05-11 Asulab Sa Numerically controlled oscillator in particular for a radiofrequency signal receiver
US7302237B2 (en) * 2002-07-23 2007-11-27 Mercury Computer Systems, Inc. Wideband signal generators, measurement devices, methods of signal generation, and methods of signal analysis
EP1646150B1 (de) 2002-12-23 2007-02-14 Infineon Technologies AG Verfahren und Vorrichtung zum Extrahieren einer einem Datenstrom zugrundeliegenden Taktfrequenz
DE10262079A1 (de) 2002-12-23 2004-11-18 Infineon Technologies Ag Verfahren und Vorrichtung zum Extrahieren einer einem Datenstrom zugrundeliegenden Taktfrequenz
JP2007525853A (ja) * 2003-12-11 2007-09-06 マーキュリー・コンピューター・システムズ・インコーポレイテッド 広帯域ダイレクト・ディジタル・シンセサイザ
US7565392B2 (en) * 2004-07-06 2009-07-21 Bae Systems Information And Electronic Systems Integration Inc. Single-level parallel-gated carry/majority circuits and systems therefrom
US7023368B1 (en) * 2004-08-31 2006-04-04 Euvis, Inc. Digital-to-analog signal converting apparatus and method to extend usable spectrum over Nyquist frequency
CN102006067B (zh) * 2009-09-02 2013-03-20 中国科学院微电子研究所 一种带波形修正rom的dds电路结构
KR101085107B1 (ko) * 2009-12-02 2011-11-21 (주)자람테크놀로지 가변 사인파 가중 디지털 아날로그 변환기를 이용한 직접 디지털 주파수 합성기 및 그 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3838414A (en) * 1972-08-03 1974-09-24 Motorola Inc Digital wave synthesizer
US3946215A (en) * 1974-09-30 1976-03-23 The Boeing Company Pseudo-random code generator
US4327419A (en) * 1980-02-22 1982-04-27 Kawai Musical Instrument Mfg. Co., Ltd. Digital noise generator for electronic musical instruments
US4486846A (en) * 1982-06-28 1984-12-04 Motorola Inc. Numerically controlled oscillator using quadrant replication and function decomposition
US4482974A (en) * 1982-08-13 1984-11-13 Hewlett-Packard Company Apparatus and method of phase-to-amplitude conversion in a sine function generator
US4893316A (en) * 1985-04-04 1990-01-09 Motorola, Inc. Digital radio frequency receiver
US4652832A (en) * 1985-07-05 1987-03-24 Motorola, Inc. Frequency resolution in a digital oscillator
US4809205A (en) * 1986-11-19 1989-02-28 Rockwell International Corporation Digital sine conversion circuit for use in direct digital synthesizers
US4901265A (en) * 1987-12-14 1990-02-13 Qualcomm, Inc. Pseudorandom dither for frequency synthesis noise
US4905177A (en) * 1988-01-19 1990-02-27 Qualcomm, Inc. High resolution phase to sine amplitude conversion
US4933890A (en) * 1989-06-13 1990-06-12 The Charles Stark Draper Laboratory, Inc. Digital frequency synthesizer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001298327A (ja) * 2000-02-10 2001-10-26 Anritsu Corp 周波数シンセサイザおよびガウス雑音発生装置
JP2008002161A (ja) * 2006-06-22 2008-01-10 Zen Giken Kk 高負荷用鉄筋交差部締結具

Also Published As

Publication number Publication date
EP0414445A3 (en) 1992-04-08
EP0414445B1 (en) 1995-01-25
EP0414445A2 (en) 1991-02-27
US5073869A (en) 1991-12-17
DE69016308T2 (de) 1995-05-24
DE69016308D1 (de) 1995-03-09
AU629025B2 (en) 1992-09-24
AU6111790A (en) 1991-02-28
CA2023323A1 (en) 1991-02-26

Similar Documents

Publication Publication Date Title
JPH03117115A (ja) ダイレクトデジタル周波数シンセサイザ
Kozak et al. Oversampled delta-sigma modulators: Analysis, applications and novel topologies
US4951237A (en) Direct digital synthesizer with selectably randomized accumulator
RU2212757C2 (ru) Устройство для генерирования аналоговых сигналов с использованием цифроаналоговых преобразователей, прежде всего для прямого цифрового синтеза
EP1469373A1 (en) Direct digital frequency synthesizer for cellular wireless communication systems based on fast frequency-hopped spread spectrum technology
Vankka Spur reduction techniques in sine output direct digital synthesis
Galton et al. A rigorous error analysis of D/A conversion with dynamic element matching
US10009033B2 (en) Noise reduction in non-linear signal processing
US5986483A (en) Direct digital frequency systhesizer
US7437391B2 (en) Numerically controlled oscillator and method of operation
US6262604B1 (en) Digital synthesizer of signals
Essenwanger et al. Sine output DDSs. A survey of the state of the art
US8031783B1 (en) Phase noise shaping using sigma delta modulation in a timing recovery unit
US7385537B2 (en) Linear feedback shift register first-order noise generator
Fishov et al. Segmented mismatch-shaping D/A conversion
EP0452031A2 (en) Signal generation using digital-to-analogue conversion
Jensen et al. An analysis of the partial randomization dynamic element matching technique
Arias et al. Nonlinearity correction for multibit/spl Delta//spl Sigma/DACs
JP2001077692A (ja) D/a変換回路
US20100109715A1 (en) Method For Use in a Digital Frequency Synthesizer
JP2692289B2 (ja) 任意波形発生器
EP4258553A1 (en) Calibration of digital-to-analog converters
Gentile et al. Direct Digital Synthesis Primer
JPH0964745A (ja) 任意波形発生器
KROUPA Synthesis techniques