JPH0247574A - 半導体集積回路装置及びその動作テスト方法 - Google Patents

半導体集積回路装置及びその動作テスト方法

Info

Publication number
JPH0247574A
JPH0247574A JP63199537A JP19953788A JPH0247574A JP H0247574 A JPH0247574 A JP H0247574A JP 63199537 A JP63199537 A JP 63199537A JP 19953788 A JP19953788 A JP 19953788A JP H0247574 A JPH0247574 A JP H0247574A
Authority
JP
Japan
Prior art keywords
integrated circuit
semiconductor integrated
circuit
main body
flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP63199537A
Other languages
English (en)
Inventor
Kanji Hirabayashi
平林 莞爾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP63199537A priority Critical patent/JPH0247574A/ja
Publication of JPH0247574A publication Critical patent/JPH0247574A/ja
Pending legal-status Critical Current

Links

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [発明の[1的コ (産業上の利用分野) 本発明は、論理回路のテスト容易化をはがった半導体集
積回路装置及びその動作テスト方法に関する。
(従来の技術) 従来、論理回路の動作テストを容易化する方法として、
同一チップ内にテスト機能を内蔵させるB I S T
 (13ullL In SelrTesting )
が知られている。この方法は、テストすべき回路に人力
するテストパターンを発生する回路と、テストすべき回
路からの出力をデータ圧縮してシグネチャを生成する回
路とを付加することにより動作テストを容易化している
この方法では、テストパターンの発生は自動化されるか
、通常の発生回路によるテストパターンは疑似乱数であ
るから、長大なパターンとなり、故障シミュレーション
等で故障検出率を評価する場合に多大な時間を要するこ
とになる。しかも、テストすべき回路が順序回路の場合
、乱数パターンでテストしきれるかとうかの保証もない
この困難を避けるために、テストすべき回路をスキャン
デザイン化する試みもなされているが、スキャンデザイ
ン化するためには、制約条件が厳しくなることや、スキ
ャンデザイン化のためにさらに付加回路が必要になる等
の問題点がある。
(発明が解決しようとする課題) このように従来、論理回路の動作テストのためにテスト
機能を内蔵させる方法では、テストのための付加回路が
必要となり、さらに故障シミュレーションにザする計算
機処理時間の増大を招く。
また、スキャンデザイン化するには、付加回路の更なる
増大を招くと言う問題かあった。
本発明は、上記事情を考慮してなされたもので、その目
的とするところは、テストのための付加回路を最小限に
止め、且つ故障シミュレーション等に要する計算機処理
時間を最小限に抑えることのできる半導体集積回路装置
及びその動作テスト方法を堤供することにある。
[発明の構成] (課題を解決するための手段) 本発明の骨子は、テストすべき論理回路(゛]5導体集
積囲路)の内部に複数の践ΔFJ点を設け、この観測点
の信号を検出することによりテスト容易化をはかること
にある。
即ち本発明は、内部回路の動作テストを可能とした半導
体集積回路装置において、回路内の全ての冗長でないノ
ートを“0゛及び“1”に変化させる機能テストパター
ンを用いて動作テストされる半導体集積回路本体と、こ
の集積回路本体と同じチップ上に配置され該集積回路本
体の前記機能テストパターンで検出できない故障が伝搬
する複数のkQ al1点(例えば、フリップフロップ
の入力端)に入力端をそれぞれ接続され、該集積回路本
体にIll IJI+されるクロックと共通のクロック
で動作し前記蜆Jll1点のに4号列を圧縮して出力す
る多入力のリニアフ、イードバックシフトレジスタとを
具備してなるものである。
また本発明は、回路内の全ての冗長でないノードを“0
″及び“1”に変化させる機能テストパターンを用いて
きト導体集積回路の動作テストを行うノj法において、
前記集積回路の前記機能テストパターンて検出できない
故障が伝搬する複数の観測点の信号列を、前記集積回路
に印加されるクロックと共通のクロックで動作する多入
力のりニアフィードバックンフトレジスタにより圧縮し
、前記機能テストパターンによる動作テスト終了後に前
記ンフトレジスタをスキャンアウトして、該レジスタの
出力を期待値と比較するようにした方法である。
(作 用) 本発明によれば、故障シミュレーションで伝搬する未検
出故障の多いフリップフロップを選択することにより、
最小の付加回路で故障検出率を上げることかできる。シ
グネチャ生成回路の動作クロックをテストすべき回路の
システムクロックと共通にすることにより、実時間動作
でシステム動作に影晋を与えることなしにングネチャを
生成できる。また、追加すべき外部端子はスキャン用の
制御端子とスキャンアウト端子のみであり、外部端子の
増加を最小限に抑えることが可能である。
(実施例) ます、実施例を説明する前に、本発明の基本原理につい
て説明する。 第2図は本発明に係わる論理検証とテス
トパターン評価を説明するためのフローチャートである
。論理設計後の回路が設計者の意図した通りになってい
るかどうかは論理シミュレーションにより検証されるが
、その際に回路内の全ての冗長でないノード(回路機能
に寄与するノート)か0“及び1″に変化しているかど
うかのチエツクを行う。以下では、これを活性化チエツ
クと呼ぶことにする。活性化率が十分でない場合は、回
路のうち未だ動作していない部分があるということであ
るから、その部分を活性化するテストパターンを追加す
る。
活性化率が十分になっても故障検出率が十分である保証
はまったく無く、一般に活性化するためのテストパター
ンに比べて故障を検出するためのテストパターンの方か
作成が遥かに困難である。
故障か検出されるためには、その故障が外部出力に伝搬
する必要があるか、未検出の場合でも内部のフリップフ
ロップまでは伝搬していることか多い。
本発明はこの点に着眼したものであり、第2図において
は故障シミュレーションの際に未検出故障が伝搬するフ
リップフロップの表を出力している。この表を基にして
伝搬する未検出故障の多いフリップフロップを観測する
ようにすれば故障検出率を上げることができる。外部端
子数の増加をできるだけ抑えて且つ最小の付加回路で上
記の要求を満たすようにした回路を第3図に示す。
第3図はシステムクロックCLKで動作し、複数の観1
1111点のシグネチャを生成し、スキャン用の制御信
号5CANを“0”にすることによりSO端子にスキャ
ンアウトできるようにした回路である。観測点は、伝搬
する未検出故障の多いフリ・ツブフロップへの入力信号
を選んである。なお、図中213.〜,21Nはフリッ
プフロップ、22、〜.22Nアンドケート、232.
〜23Nはイクスクルーシブオアゲートを示しており、
最終段のフリップフロップ21Nの出力を初段のフリツ
プフロップの入力に帰還して、リニアフィードバックシ
フトレジスタを構成している。
以下、本発明の一丸施例を図面を参照して説明する。第
1図は発明の一実施例に係わる半導体集積回路装置の概
略を示すブロック図である。この装置は、小回路]、〜
、4及びフリップフロ、ツブ11、〜,13)からなる
テストすべき論理回路(半導体集積回路本体)10と、
前記第3図に示す如きリニアフィードバックシフトレジ
スタ(ングネチャ生成器)20とを、同一チップ上に配
置して構成されている。
テストすべき論理回路10のシステムクロ・ツクをCL
Kとし、その他の外部入力をPI、外部出力をPOとす
る。論理シミュレーション用のテストパターンを用いて
故障シミュレーションした結果、回路1の出力1回路2
の出力1回路3の出力をそれぞれ観4pj点とすれば故
障検出率を上げるのに釘効であったとする。この場合、
3ビツトのシグネチャ生成器20を付加する。
テストの場合は、5CAN信号を“1゛にして論理シミ
ュレーション用のテストパターンで実行する。この際、
外部出力のうちに期待値と異なるものが出てくれば不良
としてテストを終了する。
実際には、論理シミュレーション用のテストノくターン
が正常終了した後で5CAN信号を“O”にし、CLK
を3周期分供給してスキャンアウトされるSO倍信号期
待値と比較すればよい。
かくして本実施例によれば、テストすべき回路10内の
全ての冗長でないノードを“0゛及び“1“に変化させ
る機能テストパターンで検出されない故障が多数伝搬す
る内部フリップフロップ11、〜,13の入力を観測点
としてシグネチャ発生器20に供給し、システムクロッ
クにより実時間でングネチャを生成した後スキャンアウ
トすることにより、故障検出率を向上させることかでき
る。従って、テストパターンの増大や計算機処理時間の
増大を招くことなく、動作テストを短詩■1で容易に行
うことかできる。また、回路10内の複数の観測点の信
号列はデータ圧縮された1つの出力端子SOから出力さ
れるので、外部端子の増加を最小限に抑えることができ
る。
なお、本発明は上述した実施例に限定されるものではな
く、その要旨を逸脱しない範囲で、種々変形して実施す
ることができる。例えば、前記観測点はフリップフロッ
プの入力端に限るものではなく、機能テストパターンて
検出できない故障か伝搬する部分であればよい。また、
シグネチャ生成器(リニアフィードバックシフトレジス
タ)は3ビツトに限るものではなく、テストすべき観f
llll点の数に応じて適宜変更可能である。
[発明の効果] 以上詳述したように本発明によれば、テストすべき論理
回路(半導体集積回路)の内部に複数の観測点を設け、
この観Al11点の信号を検出することによりテスト容
易化をはかっているので、テストのための付加回路を最
小限に止め、且つ故障シミュレーション等に要する計算
機処理時間を最小限に抑えることができる。
【図面の簡単な説明】
第1図は本発明の一実施例に係わる半導体集積回路装置
の概略構成を示すブロック図、第2図及び第3図は本発
明の基本原理を説明するためのもので、第2図は論理検
証とテストパターン評価を示すフローチャート、第3図
はリニアフィードバックシフトレジスタを示す回路構成
図である。 1〜.4・・小回路、10・・・テストすべき論理回路
(″46導体集積回路本体)、11.〜.13゜211
 〜,21N ・フリップフロップ、20・・・ングネ
チャ生成器(リニアフィードバックシフトレジスタ) 231 、〜 23N ・

Claims (2)

    【特許請求の範囲】
  1. (1)回路内の全ての冗長でないノードを“0”及び“
    1”に変化させる機能テストパターンを用いて動作テス
    トされる半導体集積回路本体と、この集積回路本体と同
    じチップ上に配置され該集積回路本体の前記機能テスト
    パターンで検出できない故障が伝搬する複数の観測点に
    入力端をそれぞれ接続され、該集積回路本体に印加され
    るクロックと共通のクロックで動作し前記観測点の信号
    列を圧縮して出力する多入力のリニアフィードバックシ
    フトレジスタとを具備してなることを特徴とする半導体
    集積回路装置。
  2. (2)回路内の全ての冗長でないノードを“0”及び“
    1”に変化させる機能テストパターンを用いて半導体集
    積回路の動作テストを行う方法において、 前記集積回路の前記機能テストパターンで検出できない
    故障が伝搬する複数の観測点の信号列を、前記集積回路
    に印加されるクロックと共通のクロックで動作する多入
    力のリニアフィードバックシフトレジスタにより圧縮し
    、 前記機能テストパターンによる動作テスト終了後に前記
    シフトレジスタをスキャンアウトして、該レジスタの出
    力を期待値と比較することを特徴とする半導体集積回路
    の動作テスト方法。
JP63199537A 1988-08-10 1988-08-10 半導体集積回路装置及びその動作テスト方法 Pending JPH0247574A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP63199537A JPH0247574A (ja) 1988-08-10 1988-08-10 半導体集積回路装置及びその動作テスト方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63199537A JPH0247574A (ja) 1988-08-10 1988-08-10 半導体集積回路装置及びその動作テスト方法

Publications (1)

Publication Number Publication Date
JPH0247574A true JPH0247574A (ja) 1990-02-16

Family

ID=16409484

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63199537A Pending JPH0247574A (ja) 1988-08-10 1988-08-10 半導体集積回路装置及びその動作テスト方法

Country Status (1)

Country Link
JP (1) JPH0247574A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0599988A (ja) * 1991-10-03 1993-04-23 Mitsubishi Electric Corp 故障検出機能を備えた半導体集積回路装置
US7082559B2 (en) 2001-03-07 2006-07-25 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device and test method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0599988A (ja) * 1991-10-03 1993-04-23 Mitsubishi Electric Corp 故障検出機能を備えた半導体集積回路装置
US7082559B2 (en) 2001-03-07 2006-07-25 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device and test method thereof
US7139956B2 (en) 2001-03-07 2006-11-21 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device and test method thereof

Similar Documents

Publication Publication Date Title
US6611934B2 (en) Boundary scan test cell circuit
US5084874A (en) Enhanced test circuit
US6081916A (en) IC with test cells having separate data and test paths
US5495487A (en) Testing buffer/register
JPH03214809A (ja) リニアフィードバック・シフトレジスタ
US7228262B2 (en) Semiconductor integrated circuit verification system
US8412993B2 (en) Self-adjusting critical path timing of multi-core VLSI chip
JPH07168767A (ja) スマート・メモリの組込み自己検査のための装置と方法
US9519026B2 (en) Compressed scan testing techniques
JPH0247574A (ja) 半導体集積回路装置及びその動作テスト方法
US6073265A (en) Pipeline circuit with a test circuit with small circuit scale and an automatic test pattern generating method for testing the same
US6738921B2 (en) Clock controller for AC self-test timing analysis of logic system
David et al. Hardware generation of random single input change test sequences
Girard et al. Comparison between random and pseudo-random generation for BIST of delay, stuck-at and bridging faults
JPH11168386A (ja) シグネチャー圧縮方法及び回路
Wang et al. Generating efficient tests for continuous scan
EP0358371A2 (en) Enhanced test circuit
JP3469294B2 (ja) 線型帰還シフトレジスタおよび半導体集積回路装置
JP3022017B2 (ja) 集積回路
US20040030976A1 (en) Partial BIST with recording of the connections between individual blocks
US8181135B2 (en) Hold transition fault model and test generation method
Kundu et al. Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set
Assaf et al. Implementation of embedded cores-based digital devices in JBits Java simulation environment
JPH06194416A (ja) 順序回路を含む論理回路の診断システムおよび診断方法
US20080092006A1 (en) Optimizing a Set of LBIST Patterns to Enhance Delay Fault Coverage