JP7362693B2 - Film deposition equipment and electronic device manufacturing equipment - Google Patents

Film deposition equipment and electronic device manufacturing equipment Download PDF

Info

Publication number
JP7362693B2
JP7362693B2 JP2021092524A JP2021092524A JP7362693B2 JP 7362693 B2 JP7362693 B2 JP 7362693B2 JP 2021092524 A JP2021092524 A JP 2021092524A JP 2021092524 A JP2021092524 A JP 2021092524A JP 7362693 B2 JP7362693 B2 JP 7362693B2
Authority
JP
Japan
Prior art keywords
mask
substrate
substrate carrier
chamber
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021092524A
Other languages
Japanese (ja)
Other versions
JP2022184582A (en
Inventor
俊明 姫路
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Tokki Corp
Original Assignee
Canon Tokki Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Tokki Corp filed Critical Canon Tokki Corp
Priority to JP2021092524A priority Critical patent/JP7362693B2/en
Priority to CN202210576807.4A priority patent/CN115433899B/en
Priority to KR1020220066609A priority patent/KR20220162639A/en
Publication of JP2022184582A publication Critical patent/JP2022184582A/en
Application granted granted Critical
Publication of JP7362693B2 publication Critical patent/JP7362693B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment

Description

本発明は、成膜装置及び電子デバイスの製造装置に関する。 The present invention relates to a film forming apparatus and an electronic device manufacturing apparatus.

従来、ガラス等の基板に蒸着材料を蒸着して成膜を行う成膜装置が知られており、液晶ディスプレイや有機ELディスプレイなどの電子デバイスの製造に用いられる。成膜装置として、基板に成膜が行われる複数のチャンバがクラスタ状に配置されたクラスタ型のものや、基板が搬送経路に沿って搬送されながら成膜処理を受けるインライン式のものが知られている。特許文献1(特開2020-094261号公報)には、基板キャリアに保持された基板が搬送されながら、マスクとのアライメント処理や複数のチャンバにおける成膜処理を受けることで、複数の成層が行われてディスプレイが製造される、インライン式の成膜装置が記載されている。 2. Description of the Related Art Conventionally, film forming apparatuses are known that perform film formation by vapor depositing a vapor deposition material onto a substrate such as glass, and are used for manufacturing electronic devices such as liquid crystal displays and organic EL displays. There are two known types of film deposition equipment: a cluster type in which multiple chambers are arranged in a cluster to form a film on a substrate, and an inline type in which the substrate is transported along a transport path and undergoes film formation processing. ing. Patent Document 1 (Japanese Unexamined Patent Publication No. 2020-094261) describes a method in which a substrate held by a substrate carrier is transported and subjected to alignment processing with a mask and film formation processing in a plurality of chambers, thereby forming a plurality of layers. An in-line film forming apparatus is described in which a display is manufactured using a film forming apparatus.

特開2020-094261号公報JP2020-094261A

特許文献1の成膜装置内には複数の基板キャリアおよび複数のマスクが循環しており、成膜装置に順次搬入される基板は、基板キャリアにより保持されて搬送経路上を移動し、マスクとの位置合わせと取り付けを経て成膜処理を受ける。ここで、成膜装置内に搬入された基板は基板キャリアに保持された状態でアライメント室に移動し、基板キャリアごとマスクとの間で位置合わせされ、マスクと合体される。そして、基板キャリアが基板とマスクをともに保持しながら成膜室に移動し、搬送されながら成膜を受ける。成膜完了後、次の基板の成膜に用いるために基板キャリアからマスクが取り外される。そして、成膜済みの基板がキャリアから取り外され、成膜装置外に搬出される。 A plurality of substrate carriers and a plurality of masks circulate in the film forming apparatus of Patent Document 1, and the substrates that are sequentially carried into the film forming apparatus are held by the substrate carriers and moved on a conveyance path, and are moved between the masks and the substrates. After alignment and installation, the film is deposited. Here, the substrate carried into the film forming apparatus is moved to an alignment chamber while being held by a substrate carrier, and the substrate carrier and the mask are aligned with each other and combined with the mask. Then, the substrate carrier moves to a deposition chamber while holding both the substrate and the mask, and undergoes deposition while being transported. After deposition is complete, the mask is removed from the substrate carrier for use in depositing the next substrate. Then, the substrate on which the film has been formed is removed from the carrier and carried out of the film forming apparatus.

しかしながら、インライン式の成膜装置内で、基板キャリアから取り外された後の複数のマスクを後の基板の成膜に用いるために保管する方法については、十分な検討がなされていなかった。 However, sufficient consideration has not been given to a method of storing a plurality of masks after being removed from a substrate carrier in an in-line film forming apparatus for use in subsequent film forming of a substrate.

本発明は、上記課題に鑑みてなされたものであり、インライン式の成膜装置においてマスクを好適に保管する技術を提供することを目的とする。 The present invention has been made in view of the above problems, and an object of the present invention is to provide a technique for suitably storing masks in an in-line film forming apparatus.

上記課題を解決するために、本発明は以下の構成を採用する。すなわち、
それぞれが基板を保持する複数の基板キャリアと複数のマスクとを搬送して成膜を行うインライン式の成膜装置であって、
前記複数の基板キャリアのうちの特定の基板キャリアと前記複数のマスクのうちの特定のマスクとを積層する、または、前記特定の基板キャリアを前記特定のママスクに載置する合体室と、
前記特定の基板キャリアと前記特定のマスクとを積層または載置した際の位置ずれ量を
記録する記録部と、
前記記録部に記録された前記位置ずれ量を用いて前記合体室における前記特定の基板キャリアと前記特定のマスクの位置合わせを行う位置合わせ部と、
前記特定の基板キャリアに保持され前記特定のマスクに積層または載置された基板に前記特定のマスクを介して材料を堆積させて成膜する成膜室と、
前記成膜室から搬送された前記特定の基板キャリアと前記特定のマスクとを離間させる離間室と、
前記離間室にて離間された前記特定のマスクを一時的に保管するマスク保管室と、
前記離間室において互いに離間された前記特定の基板キャリアと前記特定のマスクとが前記合体室において再び積層または載置されるように、前記複数の基板キャリア及び前記複数のマスクの少なくとも一方の搬送を制御する制御部と、
を有することを特徴とするインライン式の成膜装置である。
In order to solve the above problems, the present invention employs the following configuration. That is,
An in-line film forming apparatus that performs film formation by transporting a plurality of substrate carriers each holding a substrate and a plurality of masks,
a combination chamber for stacking a specific substrate carrier of the plurality of substrate carriers and a specific mask of the plurality of masks, or placing the specific substrate carrier on the specific mask;
a recording unit that records the amount of positional deviation when the specific substrate carrier and the specific mask are stacked or placed;
an alignment unit that aligns the specific substrate carrier and the specific mask in the combination chamber using the amount of positional deviation recorded in the recording unit;
a film forming chamber that deposits a material through the specific mask to form a film on a substrate held by the specific substrate carrier and laminated or placed on the specific mask;
a separation chamber that separates the specific substrate carrier and the specific mask transported from the film forming chamber;
a mask storage room for temporarily storing the specific masks separated in the separation room;
Transporting at least one of the plurality of substrate carriers and the plurality of masks so that the specific substrate carrier and the specific mask, which were separated from each other in the separation chamber, are stacked or mounted again in the combination chamber. a control unit that controls;
This is an in-line film forming apparatus characterized by having:

本発明によれば、インライン式の成膜装置においてマスクを好適に保管する技術を提供することができる。 According to the present invention, it is possible to provide a technique for suitably storing masks in an in-line film forming apparatus.

実施例1の成膜装置の構成を示す模式図Schematic diagram showing the configuration of the film forming apparatus of Example 1 実施例1のアライメント装置の構成を示す断面図Cross-sectional view showing the configuration of the alignment device of Example 1 実施例1のアライメント装置の構成を示す斜視図A perspective view showing the configuration of the alignment device of Example 1 実施例1の基板キャリアを説明するための図Diagram for explaining the substrate carrier of Example 1 実施例1の基板キャリアとマスクの取り付けを説明するための図Diagram for explaining attachment of the substrate carrier and mask in Example 1 実施例1のアライメントにおける撮像を説明するための図Diagram for explaining imaging in alignment of Example 1 実施例1の基板キャリアとマスクの位置ずれを説明するための図Diagram for explaining misalignment between the substrate carrier and mask in Example 1 実施例1のマスク保管装置の構成を示す断面図Cross-sectional view showing the configuration of the mask storage device of Example 1 実施例1の基板キャリアとマスクの組み合わせを示すタイミング図Timing diagram showing the combination of substrate carrier and mask in Example 1 実施例2の成膜装置の構成を示す模式図Schematic diagram showing the configuration of the film forming apparatus of Example 2 実施例3の成膜装置の構成を示す模式図Schematic diagram showing the configuration of the film forming apparatus of Example 3 有機EL表示装置の説明図Explanatory diagram of organic EL display device

以下に図面を参照して、この発明を実施するための形態を、実施例に基づいて例示的に詳しく説明する。ただし、この実施例に記載されている構成部品の寸法、材質、形状、その相対配置などは、特定的な記載がない限りは、この発明の範囲をそれらのみに限定する趣旨のものではない。 EMBODIMENT OF THE INVENTION Below, with reference to drawings, the form for implementing this invention is illustratively described in detail based on an Example. However, the dimensions, materials, shapes, relative positions, etc. of the components described in this embodiment are not intended to limit the scope of the present invention only thereto, unless specifically stated.

以下の説明においては、電子デバイスを製造するインライン式成膜装置を例にして説明する。以下の説明における成膜方法は真空蒸着法とするが、成膜方法としてスパッタリング法など別の方法を採用してもよい。本発明に適用される基板としては、ガラスの他、シリコン等を用いる半導体、高分子材料のフィルム、金属などの任意の材料を選ぶことができる。なお、基板上に複数の層を形成する場合、一つ前の工程までに既に形成されている層も含めて「基板」と称する。以下で説明する装置の構成要素について、同一もしくは対応する部材を複数有する場合には、図面中にa、bなどの添え字を付与して示す。ただし、複数の部材を区別する必要がない場合には、添え字を省略して記述する。 In the following description, an in-line film forming apparatus for manufacturing electronic devices will be used as an example. Although the film forming method in the following description is a vacuum evaporation method, another method such as a sputtering method may be employed as the film forming method. As the substrate applied to the present invention, in addition to glass, any material such as a semiconductor using silicon or the like, a film of a polymeric material, metal, etc. can be selected. Note that when a plurality of layers are formed on a substrate, the term "substrate" includes the layers that have already been formed up to the previous step. When a plurality of components of the device described below are the same or correspond to each other, they are indicated by suffixes such as a and b in the drawings. However, if there is no need to distinguish between multiple members, the subscripts are omitted from the description.

本発明は、基板に蒸着材料を蒸着させる蒸着装置もしくはそれを用いた蒸着方法、または、基板に材料を堆積させて膜を形成する成膜装置もしくはそれを用いた成膜方法として捉えることができる。本発明はまた、基板に成膜を行うことで電子デバイスを製造する、電子デバイスの製造装置や製造方法として捉えることができる。本発明はまた、上記の各
種装置を制御する制御方法として捉えることができる。本発明はまた、制御方法をコンピュータに実行させるプログラムや、当該プログラムを格納した記憶媒体としても捉えられる。記憶媒体は、コンピュータにより読み取り可能な非一時的な記憶媒体であってもよい。
The present invention can be understood as a vapor deposition apparatus that vapor deposits a vapor deposition material onto a substrate or a vapor deposition method using the same, or a film forming apparatus that deposits a material on a substrate to form a film or a film forming method using the same. . The present invention can also be regarded as an electronic device manufacturing apparatus and manufacturing method that manufactures electronic devices by forming a film on a substrate. The present invention can also be viewed as a control method for controlling the various devices described above. The present invention can also be understood as a program that causes a computer to execute a control method, and a storage medium that stores the program. A storage medium may be a non-transitory computer readable storage medium.

[実施例1]
(成膜装置の構成)
図1は、本実施例に係る、有機ELディスプレイを製造するインライン式の成膜装置300の模式的な構成図である。有機ELディスプレイは、一般的に、回路素子を形成する回路素子形成工程と、基板上に有機発光素子を形成する有機発光素子形成工程と、形成した有機発光層上に保護層を形成する封止工程と、を経て製造される。本実施例に係る成膜装置300は有機発光素子形成工程を主に行う。
[Example 1]
(Configuration of film forming apparatus)
FIG. 1 is a schematic configuration diagram of an in-line film forming apparatus 300 for manufacturing an organic EL display according to this embodiment. Organic EL displays generally include a circuit element formation process in which a circuit element is formed, an organic light emitting element formation process in which an organic light emitting element is formed on a substrate, and a sealing process in which a protective layer is formed on the formed organic light emitting layer. It is manufactured through the process. The film forming apparatus 300 according to this embodiment mainly performs the process of forming an organic light emitting element.

成膜装置300は、概略、マスク搬入室90と、アライメント室100と、複数の成膜室110a、110bと、反転室111a、111bと、搬送室112と、マスク分離室113と、基板分離室114と、キャリア搬送室115と、マスク搬送室116と、基板搬入室117と、を有する。 The film forming apparatus 300 generally includes a mask loading chamber 90, an alignment chamber 100, a plurality of film forming chambers 110a and 110b, inversion chambers 111a and 111b, a transfer chamber 112, a mask separation chamber 113, and a substrate separation chamber. 114, a carrier transfer chamber 115, a mask transfer chamber 116, and a substrate transfer chamber 117.

成膜装置300はさらに、基板キャリア9を搬送する搬送手段(後述)を有する。基板キャリア9は、成膜装置300の有する各チャンバ内を通る所定の搬送経路に沿って搬送される。具体的には、基板キャリア9は、基板搬入室117、反転室111a、マスク搬入室90、アライメント室100、複数の成膜室110a、110b、搬送室112、マスク分離室113、反転室111b、基板分離室114、キャリア搬送室115、の順に搬送され、再度、基板搬入室117に戻る。 The film forming apparatus 300 further includes a transport means (described later) for transporting the substrate carrier 9. The substrate carrier 9 is transported along a predetermined transport path passing through each chamber of the film forming apparatus 300 . Specifically, the substrate carrier 9 includes a substrate loading chamber 117, an inversion chamber 111a, a mask loading chamber 90, an alignment chamber 100, a plurality of film forming chambers 110a and 110b, a transfer chamber 112, a mask separation chamber 113, an inversion chamber 111b, The substrate is transported in this order to the substrate separation chamber 114 and the carrier transport chamber 115, and then returns to the substrate transport chamber 117 again.

一方、マスク6は、マスク搬入室90、アライメント室100、複数の成膜室110a、110b、搬送室112、マスク分離室113、マスク搬送室116、の順に搬送され、再度、マスク搬入室90に戻る。このように、基板キャリア9とマスク6は、それぞれ所定の搬送経路に沿って循環して搬送される。以下、各チャンバについて説明する。 On the other hand, the mask 6 is transported in this order to the mask loading chamber 90, the alignment chamber 100, the plurality of film forming chambers 110a and 110b, the transport chamber 112, the mask separation chamber 113, and the mask transport chamber 116, and then to the mask loading chamber 90 again. return. In this way, the substrate carrier 9 and the mask 6 are circulated and transported along respective predetermined transport routes. Each chamber will be explained below.

未成膜の基板5は、まず基板搬入室117に搬入され、基板キャリア9に取り付けられる。具体的には、基板5は、被成膜面が鉛直方向上を向いた状態で基板搬入室117に搬入される。基板搬入室117内では、基板キャリア9が保持面が鉛直方向上を向いた状態で配置されている。基板搬入室117に搬入された基板5は、基板キャリア9の保持面の上に載置され、基板キャリア9によって保持される。 The substrate 5 on which no film has been formed is first carried into the substrate carrying chamber 117 and attached to the substrate carrier 9. Specifically, the substrate 5 is carried into the substrate carrying chamber 117 with the surface to be film-formed facing upward in the vertical direction. In the substrate loading chamber 117, the substrate carrier 9 is arranged with its holding surface facing upward in the vertical direction. The substrate 5 carried into the substrate carrying chamber 117 is placed on the holding surface of the substrate carrier 9 and held by the substrate carrier 9.

基板5を保持する基板キャリア9は反転室111aに移動する。ここで、反転室111a、111bには基板キャリア9の基板保持面の向きを鉛直方向上向きから鉛直方向下向きに、または、鉛直方向下向きから鉛直方向上向きに反転させる反転機構120a、120bが備えられている。反転機構120a、120bとしては、基板キャリア9を把持等して姿勢(向き)を変化させることができる既知の機構を適宜採用してよい。反転機構120aが作動することで、基板キャリア9が基板5ごと反転され、基板5の被成膜面が鉛直方向下を向いた状態になる。 The substrate carrier 9 holding the substrate 5 moves to the reversing chamber 111a. Here, the reversing chambers 111a and 111b are equipped with reversing mechanisms 120a and 120b for reversing the orientation of the substrate holding surface of the substrate carrier 9 from vertically upward to vertically downward, or from vertically downward to vertically upward. There is. As the reversing mechanisms 120a and 120b, any known mechanism capable of gripping the substrate carrier 9 and changing its posture (orientation) may be employed as appropriate. By operating the reversing mechanism 120a, the substrate carrier 9 is reversed together with the substrate 5, so that the surface of the substrate 5 on which the film is to be deposited faces downward in the vertical direction.

一方、後述する基板5への成膜完了後に、基板キャリア9がマスク分離室113から反転室111bに搬入される際には、基板5の被成膜面が鉛直方向下を向いた状態で搬入されてくる。そこで反転機構120bは、基板キャリア9を基板5ごと反転して、基板5の被成膜面が鉛直方向上を向いた状態とする。 On the other hand, when the substrate carrier 9 is carried from the mask separation chamber 113 to the reversing chamber 111b after the film formation on the substrate 5 is completed, which will be described later, the substrate 5 is carried in with the film-forming surface of the substrate 5 facing downward in the vertical direction. It will be done. Therefore, the reversing mechanism 120b reverses the substrate carrier 9 together with the substrate 5 so that the surface of the substrate 5 on which the film is to be deposited faces upward in the vertical direction.

なお、本発明は、本実施例のようなデポアップの構成(成膜時に基板5の被成膜面が鉛
直方向下側を向くような構成)に限られない。デポダウンの構成(成膜時に基板5の被成膜面が鉛直方向上方を向くような構成)や、サイドデポの構成(成膜時に基板5が垂直に立てられる構成)でもよい。本発明は、基板キャリア9をマスク6に載置する構成や、マスク6を基板キャリア9に載置する構成だけでなく、基板キャリア9とマスク6を積層する構成であれば適用可能である。
Note that the present invention is not limited to the deposition-up configuration (a configuration in which the surface of the substrate 5 on which the film is to be deposited faces downward in the vertical direction during film formation) as in this embodiment. A deposition down configuration (a configuration in which the surface of the substrate 5 to be deposited faces vertically upward during film formation) or a side deposition configuration (a configuration in which the substrate 5 is vertically erected during film formation) may be used. The present invention is applicable not only to a structure in which the substrate carrier 9 is placed on the mask 6 or a structure in which the mask 6 is placed on the substrate carrier 9, but also to a structure in which the substrate carrier 9 and the mask 6 are stacked.

反転室111aにおける反転を経て、基板キャリア9はマスク搬入室90に搬入される。それに合わせて、マスク6もマスク搬入室90に搬入される。そして、基板5を保持する基板キャリア9と、マスク6とは、アライメント室100に搬入される。アライメント室100は、マスク6と基板キャリア9との合体が行われる合体室とも呼べる。ここでの基板キャリア9とマスク6の組み合わせや、マスク6の運搬および保管方法については後述する。 After being reversed in the reversing chamber 111a, the substrate carrier 9 is carried into the mask loading chamber 90. At the same time, the mask 6 is also carried into the mask carrying room 90. Then, the substrate carrier 9 holding the substrate 5 and the mask 6 are carried into the alignment chamber 100. The alignment chamber 100 can also be called a combination chamber where the mask 6 and the substrate carrier 9 are combined. The combination of the substrate carrier 9 and the mask 6 and the method of transporting and storing the mask 6 will be described later.

アライメント室100には、アライメント装置1が搭載されている。アライメント装置1は、基板キャリア9(およびそれが保持する基板5)と、マスク6とを位置合わせし、マスク6に基板キャリア9(基板5)を載置する。アライメント装置1はその後、基板キャリア9が載置されたマスク6を搬送ローラ15に受け渡し、次工程に向けて搬送を開始する。図2、図3に示すように、搬送手段としての搬送ローラ15は、搬送経路の両脇に搬送方向に沿って複数配置されており、それぞれ不図示のACサーボモータの駆動力により回転することで、基板キャリア9やマスク6を搬送する。なお、アライメント室100と成膜室110aの間や、成膜室110aと成膜室110bの間に、基板キャリア9の速度を調整する速度調整室を設けてもよい。速度調整により、成膜室110において複数の基板キャリア9が所定の間隔を空けて搬送される。 An alignment device 1 is mounted in the alignment chamber 100. The alignment device 1 aligns the substrate carrier 9 (and the substrate 5 it holds) and the mask 6, and places the substrate carrier 9 (substrate 5) on the mask 6. Thereafter, the alignment apparatus 1 transfers the mask 6 on which the substrate carrier 9 is placed to the transport rollers 15, and starts transporting it toward the next process. As shown in FIGS. 2 and 3, a plurality of conveyance rollers 15 serving as conveyance means are arranged along the conveyance direction on both sides of the conveyance path, and are each rotated by the driving force of an AC servo motor (not shown). Then, the substrate carrier 9 and the mask 6 are transported. Note that a speed adjustment chamber for adjusting the speed of the substrate carrier 9 may be provided between the alignment chamber 100 and the film formation chamber 110a or between the film formation chamber 110a and the film formation chamber 110b. By adjusting the speed, a plurality of substrate carriers 9 are transported in the film forming chamber 110 at predetermined intervals.

成膜室110には、鉛直方向上に向けて蒸着材料を放出する蒸発源7が配置されている。成膜室110に、基板キャリアに保持されつつ、被成膜面が鉛直方向下を向いた状態で搬入されてきた基板5が蒸発源7上を通過することで、マスク6によって遮られる個所以外の被成膜面が成膜される。成膜室110のチャンバ内部は、真空ポンプや室圧計を備えた室圧制御部(不図示)により内圧を調整され、成膜空間が形成される。蒸発源7は、蒸着材料を収容する坩堝などの材料収容部と、蒸着材料を加熱するシースヒータなどの加熱手段を備える。なお蒸発源7は、基板キャリア9(基板5)およびマスク6と略平行な平面内で材料収容部を移動させる機構や、蒸発源全体を移動させる機構を備えていてもよい。これにより、蒸着材料を射出する射出口の位置をチャンバ4内で基板5に対して相対的に変位させ、基板5上への成膜を均一化できる。 In the film forming chamber 110, an evaporation source 7 that emits vapor deposition material vertically upward is arranged. The substrate 5, which has been carried into the film forming chamber 110 with the surface to be filmed facing vertically downward while being held by a substrate carrier, passes over the evaporation source 7, thereby removing the area other than the area blocked by the mask 6. A film is formed on the surface to be filmed. Inside the film forming chamber 110, the internal pressure is adjusted by a room pressure control unit (not shown) equipped with a vacuum pump and a room pressure gauge, and a film forming space is formed. The evaporation source 7 includes a material storage section such as a crucible that stores the vapor deposition material, and heating means such as a sheath heater that heats the vapor deposition material. Note that the evaporation source 7 may include a mechanism for moving the material storage section within a plane substantially parallel to the substrate carrier 9 (substrate 5) and the mask 6, or a mechanism for moving the entire evaporation source. Thereby, the position of the injection port for injecting the vapor deposition material can be displaced within the chamber 4 relative to the substrate 5, and the film can be uniformly formed on the substrate 5.

成膜室110での成膜完了後、基板キャリア9とマスク6は、マスク分離室113に到達し、マスク分離室113にて互いに分離される。マスク分離室113は、基板キャリア9とマスク6とが離間される離間室とも呼べる。基板キャリア9から分離したマスク6は、マスク搬送室116へ搬送され、新たな基板5の成膜工程に回される。後述するが、本実施例のマスク搬送室116にはマスク保管装置310が配置されており、成膜装置内を循環する複数のマスク6の保管や、基板キャリア9に応じたマスクの選択的搬出を行う。マスク搬送室116は、マスクを保管する機能に着目するとマスク保管室とも呼べる。あるいは、マスク搬送室116は、マスクが待機する待機室とも呼べる。 After the film formation in the film formation chamber 110 is completed, the substrate carrier 9 and the mask 6 reach the mask separation chamber 113 and are separated from each other in the mask separation chamber 113. The mask separation chamber 113 can also be called a separation chamber in which the substrate carrier 9 and the mask 6 are separated. The mask 6 separated from the substrate carrier 9 is transported to the mask transport chamber 116 and sent to a film forming process for a new substrate 5. As will be described later, a mask storage device 310 is disposed in the mask transfer chamber 116 of this embodiment, and is used to store a plurality of masks 6 circulating within the film forming apparatus and to selectively transport masks according to the substrate carrier 9. I do. The mask transport chamber 116 can also be called a mask storage chamber if we focus on its function of storing masks. Alternatively, the mask transfer chamber 116 can also be called a waiting room where masks wait.

一方、基板5を保持した基板キャリア9は、マスク6との分離後に反転室111bで上下反転され、基板分離室114へ搬送される。基板分離室114において、成膜が完了した基板5が基板キャリア9から分離され、成膜装置300から搬出される。基板キャリア9は、キャリア搬送室115を経て基板搬入室117に搬送され、新たな基板5の保持に用いられる。 On the other hand, the substrate carrier 9 holding the substrate 5 is turned upside down in the reversing chamber 111b after being separated from the mask 6, and is transported to the substrate separating chamber 114. In the substrate separation chamber 114 , the substrate 5 on which film formation has been completed is separated from the substrate carrier 9 and carried out from the film formation apparatus 300 . The substrate carrier 9 is transferred to the substrate loading chamber 117 via the carrier transfer chamber 115, and is used to hold a new substrate 5.

(基板キャリア)
基板キャリア9の構成を説明する。図4(a)は、基板キャリア9の模式的平面図である。図4(b)は図4(a)のA矢視断面図であり、基板保持面が上方(紙面手前方向)を向いた状態を示す。基板キャリア9は、平面視で略矩形の平板状の構造体である。
(board carrier)
The configuration of the substrate carrier 9 will be explained. FIG. 4A is a schematic plan view of the substrate carrier 9. FIG. FIG. 4(b) is a cross-sectional view taken along arrow A in FIG. 4(a), showing a state in which the substrate holding surface faces upward (toward the front of the page). The substrate carrier 9 is a flat structure that is approximately rectangular in plan view.

基板キャリア9の搬送時には、基板キャリア9の四辺のうち、搬送方向に沿った、対向する二辺が、搬送ローラ15によって支持される。搬送ローラ15は、基板キャリア9の搬送経路の両側に複数配置された搬送回転体により構成される。搬送ローラ15が回転することにより、基板キャリア9が搬送方向においてガイドされながら移動する。 When the substrate carrier 9 is transported, two opposing sides along the transport direction among the four sides of the substrate carrier 9 are supported by the transport rollers 15 . The conveyance rollers 15 are composed of a plurality of conveyance rotating bodies arranged on both sides of the conveyance path of the substrate carrier 9 . As the transport rollers 15 rotate, the substrate carrier 9 moves while being guided in the transport direction.

基板キャリア9は、矩形の平板状部材であるキャリア面板30と、複数のチャック部材32と、複数の支持体33と、を有する。基板キャリア9は、キャリア面板30の保持面31に基板5を保持する。図中には便宜的に、基板5が保持されたときに基板5の外縁に対応する破線が示されている。破線の内側の領域を基板保持部、外側の領域を外周部とも呼ぶ。基板保持部と外周部は便宜的に規定されるものであり、両者の間に構造の差異がなくてもよい。 The substrate carrier 9 includes a carrier face plate 30 that is a rectangular flat member, a plurality of chuck members 32, and a plurality of supports 33. The substrate carrier 9 holds the substrate 5 on the holding surface 31 of the carrier face plate 30. For convenience, a broken line corresponding to the outer edge of the substrate 5 when the substrate 5 is held is shown in the figure. The area inside the broken line is also called the substrate holding part, and the area outside the broken line is also called the outer peripheral part. The substrate holding part and the outer peripheral part are defined for convenience, and there may be no difference in structure between them.

チャック部材32は、基板5をチャックするチャック面を有する突起である。本実施例でのチャック面は、粘着性の部材(PSC:Physical Sticky Chucking)によって構成された粘着面であり、物理的な粘着力、あるいは、物理的な吸着力(adsorption)によって基板5を保持する。複数のチャック部材32のそれぞれによって基板5をチャックすることで、基板5がキャリア面板30の保持面31に沿って保持される。複数のチャック部材32はそれぞれ、チャック面がキャリア面板30の保持面31から所定の距離だけ飛び出た状態に配置されている。 The chuck member 32 is a projection having a chuck surface that chucks the substrate 5. The chuck surface in this embodiment is an adhesive surface made of an adhesive member (Physical Sticky Chucking), and holds the substrate 5 by physical adhesive force or physical adsorption force. do. By chucking the substrate 5 with each of the plurality of chuck members 32, the substrate 5 is held along the holding surface 31 of the carrier face plate 30. Each of the plurality of chuck members 32 is arranged such that its chuck surface protrudes a predetermined distance from the holding surface 31 of the carrier face plate 30.

チャック部材32は、マスク6の形状に応じて配置されることが好ましく、マスク6の基板5の被成膜領域を区画するための境界部(桟の部分)に対応して配置されていることがより好ましい。これにより、チャック部材32が基板5と接触することによる基板5の成膜エリアの温度分布への影響を抑制できる。また、チャック部材32は、ディスプレイのアクティブエリアの外に配置されることが好ましい。これは、チャック部材32による吸着による応力が基板5を歪ませる懸念、あるいは成膜時の温度分布に影響を及ぼす懸念があるためである。 It is preferable that the chuck member 32 is arranged according to the shape of the mask 6, and is arranged corresponding to the boundary part (part of the crosspiece) for dividing the film-forming area of the substrate 5 of the mask 6. is more preferable. Thereby, the influence on the temperature distribution of the film forming area of the substrate 5 due to the contact of the chuck member 32 with the substrate 5 can be suppressed. Furthermore, the chuck member 32 is preferably located outside the active area of the display. This is because there is a concern that stress due to adsorption by the chuck member 32 may distort the substrate 5 or affect the temperature distribution during film formation.

後述するように、基板5を保持するキャリア面板30の保持面31が下方を向くよう基板キャリア9が反転され、マスク6上に載置される際に、支持体33がマスク6に対して基板キャリア9を支持する。いくつかの実施形態では、支持体33がキャリア面板30の保持面31から突出した凸部として構成されているものの、反転後には基板5の全体がマスク6に密着している。別の実施形態では、少なくとも支持体33の近傍においては、基板キャリア9に保持された基板5と、マスク6とが離間するように、支持体33が基板キャリア9を支持する。 As will be described later, when the substrate carrier 9 is inverted so that the holding surface 31 of the carrier face plate 30 that holds the substrate 5 faces downward and is placed on the mask 6, the support body 33 is I support Carrier 9. In some embodiments, the support 33 is configured as a convex portion protruding from the holding surface 31 of the carrier face plate 30, but the entire substrate 5 is in close contact with the mask 6 after inversion. In another embodiment, the support 33 supports the substrate carrier 9 such that the substrate 5 held by the substrate carrier 9 and the mask 6 are separated from each other at least in the vicinity of the support 33.

(アライメント装置)
図2は、成膜装置300のアライメントのための構成を示す模式的な断面図であり、図1のBB矢視に対応する。アライメント装置1は、アライメント室100に配置され、基板キャリア9に保持された基板5と、マスク6との相対位置合わせを行う。
(alignment device)
FIG. 2 is a schematic cross-sectional view showing a configuration for alignment of the film forming apparatus 300, and corresponds to the BB arrow direction in FIG. The alignment device 1 is arranged in the alignment chamber 100 and performs relative positioning between the substrate 5 held by the substrate carrier 9 and the mask 6.

アライメント装置1は、内部を真空雰囲気や不活性ガス雰囲気に維持されるチャンバ4を備える。チャンバ4は、上部隔壁4a、側壁4b、底壁4cを有している。上部隔壁4aの上には、基板キャリア9を駆動してマスク6との位置を相対的に合わせる位置合わせ機構60(位置合わせ部)が配置されている。可動部を多く含む位置合わせ機構60をチ
ャンバ外に配置することで、チャンバ内での発塵を抑制できる。アライメント装置1はさらに、基板キャリア9を保持するキャリア支持部8と、マスク6を保持するマスク受け台16と、搬送ローラ15と、を有している。
The alignment apparatus 1 includes a chamber 4 whose interior is maintained in a vacuum atmosphere or an inert gas atmosphere. The chamber 4 has an upper partition wall 4a, a side wall 4b, and a bottom wall 4c. A positioning mechanism 60 (positioning unit) that drives the substrate carrier 9 and relatively aligns the substrate carrier 9 with the mask 6 is arranged on the upper partition wall 4a. By arranging the positioning mechanism 60 including many movable parts outside the chamber, dust generation within the chamber can be suppressed. The alignment apparatus 1 further includes a carrier support section 8 that holds the substrate carrier 9, a mask pedestal 16 that holds the mask 6, and a conveyance roller 15.

位置合わせ機構60は、基板キャリア9(基板5)とマスク6の相対的な位置関係を変化させたり安定的に保持したりする。位置合わせ機構60は、面内移動手段11と、Z昇降ベース13と、Z昇降スライダ10を含む。面内移動手段11は、チャンバ4の上部隔壁4aに接続され、Z昇降ベース13をXYθ方向に駆動する。Z昇降ベース13は、面内移動手段11に接続され、基板キャリア9がZ方向に移動するときのベースとなる。Z昇降スライダ10は、Zガイド18(18a~18d)に沿ってZ方向に移動可能な部材である。Z昇降スライダは、キャリア保持シャフト12を介してキャリア支持部8に接続されている。 The alignment mechanism 60 changes the relative positional relationship between the substrate carrier 9 (substrate 5) and the mask 6 and stably holds them. The positioning mechanism 60 includes an in-plane moving means 11, a Z elevation base 13, and a Z elevation slider 10. The in-plane moving means 11 is connected to the upper partition wall 4a of the chamber 4, and drives the Z lift base 13 in the XYθ directions. The Z lifting base 13 is connected to the in-plane moving means 11 and serves as a base when the substrate carrier 9 moves in the Z direction. The Z lift slider 10 is a member that is movable in the Z direction along the Z guide 18 (18a to 18d). The Z lifting slider is connected to the carrier support section 8 via the carrier holding shaft 12.

基板キャリア9(基板5)を基板5に平行な平面内でXYθ移動させるときには、Z昇降ベース13、Z昇降スライダ10およびキャリア保持シャフト12が一体として駆動し、キャリア支持部8に駆動力を伝達する。そのための面内移動手段11としては例えば、互いに異なる方向に駆動力を発生させる複数の駆動ユニットを用いることができる。各駆動ユニットが移動量に応じた駆動力を発生させることにより、Z昇降ベース13のXYθ方向における位置を制御可能である。 When the substrate carrier 9 (substrate 5) is moved in XYθ in a plane parallel to the substrate 5, the Z lifting base 13, the Z lifting slider 10, and the carrier holding shaft 12 are driven as a unit, and the driving force is transmitted to the carrier support part 8. do. As the in-plane moving means 11 for this purpose, for example, a plurality of drive units that generate drive forces in mutually different directions can be used. The position of the Z-lifting base 13 in the XYθ directions can be controlled by each drive unit generating a driving force according to the amount of movement.

また、基板キャリア9(基板5)をZ移動させるときには、Z昇降スライダ10がZ昇降ベース13に対してZ方向に駆動する。このとき、駆動力は、キャリア保持シャフト12(12a~12d)を介してキャリア支持部8に伝達される。このようにZ昇降スライダ等が距離変化手段として機能することにより、基板キャリア9とマスク6の相対距離が変化する。 Furthermore, when the substrate carrier 9 (substrate 5) is moved in the Z direction, the Z lift slider 10 is driven in the Z direction relative to the Z lift base 13. At this time, the driving force is transmitted to the carrier support section 8 via the carrier holding shaft 12 (12a to 12d). In this way, the relative distance between the substrate carrier 9 and the mask 6 is changed by the Z lifting slider and the like functioning as a distance changing means.

なお、本実施例のように位置合わせ機構60が基板5を移動させる構成には限定されず、位置合わせ機構60がマスク6を移動させてもよいし、基板5とマスク6の両方を移動させてもよい。すなわち、位置合わせ機構60は基板5およびマスク6の少なくとも一方を移動させることにより、基板5とマスク6の相対的な位置を合わせる機構である。 Note that the configuration is not limited to the configuration in which the alignment mechanism 60 moves the substrate 5 as in this embodiment, and the alignment mechanism 60 may move the mask 6 or may move both the substrate 5 and the mask 6. It's okay. That is, the alignment mechanism 60 is a mechanism that aligns the relative positions of the substrate 5 and the mask 6 by moving at least one of the substrate 5 and the mask 6.

図3は、アライメント装置1の一形態を示す斜視図である。マスク受け台16は、マスク台ベース19上に載置された昇降台ガイド34に沿って上下に昇降する。また、マスク6の搬送方向に沿った辺の下部には搬送ローラ15が載置されており、マスク6はマスク受け台16が下降することによって搬送ローラ15に受け渡される。例えば有機ELディスプレイの製造に用いられるマスクは、成膜パターンに応じた開口を有するマスク箔6bが高剛性のマスクフレーム6aに架張された状態で固定された構成を有している。この構成により、マスク受け部はマスク箔6bの撓みを低減した状態で保持することができる。 FIG. 3 is a perspective view showing one form of the alignment device 1. The mask holder 16 moves up and down along an elevator guide 34 placed on the mask holder base 19. Further, a conveying roller 15 is placed at the lower part of the side of the mask 6 along the conveying direction, and the mask 6 is transferred to the conveying roller 15 by lowering the mask holder 16. For example, a mask used for manufacturing an organic EL display has a structure in which a mask foil 6b having openings corresponding to a film formation pattern is stretched and fixed to a highly rigid mask frame 6a. With this configuration, the mask receiving portion can hold the mask foil 6b in a state where the deflection is reduced.

キャリア保持シャフト12は、チャンバ4の上部隔壁4aに設けられた貫通孔を通って、チャンバ4の外部と内部にわたって設けられている。キャリア保持シャフト12の下部にはキャリア支持部8が設けられ、基板キャリア9を介して基板5を保持可能となっている。キャリア保持シャフト12のうち貫通孔からZ昇降スライダ10への固定部分までの区間(貫通孔より上方の部分)は、Z昇降スライダ10と上部隔壁4aとに固定されたベローズ40によって覆われる。これにより、キャリア保持シャフト12全体を成膜空間2と同じ真空状態に維持できる。 The carrier holding shaft 12 passes through a through hole provided in the upper partition wall 4a of the chamber 4, and is provided across the outside and inside of the chamber 4. A carrier support portion 8 is provided at the lower part of the carrier holding shaft 12, and is capable of holding the substrate 5 via the substrate carrier 9. A section of the carrier holding shaft 12 from the through hole to the part fixed to the Z lift slider 10 (a portion above the through hole) is covered by a bellows 40 fixed to the Z lift slider 10 and the upper partition wall 4a. Thereby, the entire carrier holding shaft 12 can be maintained in the same vacuum state as the film forming space 2.

Z昇降ベース13の側面には、Z昇降スライダ10を鉛直Z方向に案内するための4本のZガイド18a~18dが固定されている。Z昇降スライダ中央に配置されたボールネジ27は、Z昇降ベース13に固定されたモータ26から伝達される駆動力をZ昇降スラ
イダ10に伝達する。モータ26が内蔵する不図示の回転エンコーダの回転数により、Z昇降スライダ10のZ方向位置が計測可能である。なお、Z昇降スライダ10の昇降機構は、ボールネジ27と回転エンコーダに限定されるものではなく、リニアモータとリニアエンコーダの組み合わせなど、任意の機構を採用することができる。
Four Z guides 18a to 18d are fixed to the side surface of the Z elevation base 13 for guiding the Z elevation slider 10 in the vertical Z direction. A ball screw 27 arranged at the center of the Z-lifting slider transmits a driving force transmitted from a motor 26 fixed to the Z-lifting base 13 to the Z-lifting slider 10. The Z-direction position of the Z-lifting slider 10 can be measured based on the rotational speed of a rotary encoder (not shown) built into the motor 26. Note that the elevating mechanism of the Z elevating slider 10 is not limited to the ball screw 27 and a rotary encoder, and any mechanism such as a combination of a linear motor and a linear encoder can be used.

アライメント装置1による各種の動作(面内移動手段11によるアライメント、Z昇降スライダ10の昇降、キャリア支持部8による基板保持、蒸発源7による蒸着など)は、制御部70によって制御される。制御部70は、例えば、プロセッサ、メモリ、ストレージ、I/Oなどを有するコンピュータにより構成可能である。この場合、制御部70の機能は、メモリ又はストレージに記憶されたプログラムをプロセッサが実行することにより実現される。コンピュータとしては、汎用のパーソナルコンピュータを用いてもよいし、組込型のコンピュータ又はPLC(programmable logic controller)を用いてもよい。あるいは、制御部70の機能の一部又は全部をASICやFPGAのような回路で構成してもよい。なお、成膜装置300のチャンバごとに制御部70が設けられていてもよいし、1つの制御部70が複数のチャンバあるいは成膜装置全体を制御してもよい。記録部71は、制御部70が情報を記録し、読み出すためのメモリである。記録部71として制御部70内蔵メモリを用いてもよい。 Various operations by the alignment device 1 (alignment by the in-plane moving means 11, raising and lowering of the Z elevation slider 10, substrate holding by the carrier supporter 8, vapor deposition by the evaporation source 7, etc.) are controlled by the controller 70. The control unit 70 can be configured by, for example, a computer having a processor, memory, storage, I/O, and the like. In this case, the functions of the control unit 70 are realized by a processor executing a program stored in memory or storage. As the computer, a general-purpose personal computer, a built-in computer, or a PLC (programmable logic controller) may be used. Alternatively, part or all of the functions of the control unit 70 may be configured by a circuit such as an ASIC or an FPGA. Note that the control section 70 may be provided for each chamber of the film forming apparatus 300, or one control section 70 may control a plurality of chambers or the entire film forming apparatus. The recording unit 71 is a memory for the control unit 70 to record and read information. The memory built into the control section 70 may be used as the recording section 71.

アライメント時に基板5とマスク6との位置を検出するために、撮像装置14(14a~14d)が用いられる。チャンバ4の上部隔壁4aの外側には、マスク6上のアライメントマークおよび基板5上のアライメントマークの位置を取得するための撮像装置14が配置されている。上部隔壁4aには、撮像装置14がチャンバ内部を撮像できるように、撮像装置14のカメラ光軸上に撮像用貫通孔が設けられている。撮像用貫通孔には、チャンバ内部の気圧を維持するために窓ガラス17(17a~17d)がはめ込まれる。 An imaging device 14 (14a to 14d) is used to detect the position of the substrate 5 and mask 6 during alignment. An imaging device 14 for acquiring the positions of the alignment marks on the mask 6 and the alignment marks on the substrate 5 is arranged outside the upper partition wall 4a of the chamber 4. An imaging through hole is provided in the upper partition wall 4a on the optical axis of the camera of the imaging device 14 so that the imaging device 14 can take an image of the inside of the chamber. A window glass 17 (17a to 17d) is fitted into the imaging through hole in order to maintain the atmospheric pressure inside the chamber.

(基板とマスクの取り付け)
図5は、基板5を基板キャリア9に取り付け、その基板キャリア9を反転してマスク6へ載置するまでの様子を示す模式的断面図である。基板キャリア9のキャリア面板30は、金属等で構成された板状部材であり、保持面31により基板5を保持する。キャリア面板30は、ある程度の剛性(少なくとも基板5よりも高い剛性)を有しており、基板5を保持面31に沿って保持することで、基板5の撓みを抑制する。図5(a)は基板搬入室117において、保持面31が上方を向いた基板キャリア9の上に、基板5が載置される様子を示す。
(Attachment of board and mask)
FIG. 5 is a schematic cross-sectional view showing the process of attaching the substrate 5 to the substrate carrier 9, inverting the substrate carrier 9, and placing it on the mask 6. The carrier face plate 30 of the substrate carrier 9 is a plate-like member made of metal or the like, and holds the substrate 5 with a holding surface 31 . The carrier face plate 30 has a certain degree of rigidity (at least higher rigidity than the substrate 5), and suppresses deflection of the substrate 5 by holding the substrate 5 along the holding surface 31. FIG. 5A shows a state in which the substrate 5 is placed on the substrate carrier 9 with the holding surface 31 facing upward in the substrate loading chamber 117.

反転室111aにおいて、基板キャリア9が基板5ごと上下反転されることにより、図5(b)の状態から図5(c)の状態になる。基板キャリア9は、保持面31が下方を向く姿勢となり、基板5は、チャック部材32の保持力によって保持面31に下方から張り付き、被成膜面が下方を向く状態となる。そして、図5(c)の状態で、基板キャリア9がアライメント室100に搬入され、マスク6の上方に移動する。 In the reversing chamber 111a, the substrate carrier 9 is turned upside down together with the substrate 5, thereby changing from the state shown in FIG. 5(b) to the state shown in FIG. 5(c). The substrate carrier 9 is in a position with the holding surface 31 facing downward, and the substrate 5 is stuck to the holding surface 31 from below by the holding force of the chuck member 32, so that the surface to be deposited faces downward. Then, in the state shown in FIG. 5C, the substrate carrier 9 is carried into the alignment chamber 100 and moved above the mask 6.

その後、図5(d)に示すように、基板キャリア9がマスク6上に載置される。複数の支持体33が、キャリア面板30の外周部に、保持面31及びチャック部材32よりも突出して配置されている。支持体33は、基板5が基板キャリア9に保持された状態で、基板5よりもマスク6側に突出するように設けられている。基板キャリア9は、支持体33を介してマスクフレーム6aの外周フレーム上に、アライメント動作を経て着座する。この時、基板5の全部がマスク6と接触している。このような構成により、成膜時にマスクと基板の間への材料の回り込みが低減できる。 Thereafter, the substrate carrier 9 is placed on the mask 6, as shown in FIG. 5(d). A plurality of supports 33 are arranged on the outer periphery of the carrier face plate 30 so as to protrude beyond the holding surface 31 and the chuck member 32. The support body 33 is provided so as to protrude toward the mask 6 side from the substrate 5 while the substrate 5 is held by the substrate carrier 9 . The substrate carrier 9 is seated on the outer peripheral frame of the mask frame 6a via the support body 33 through an alignment operation. At this time, the entire substrate 5 is in contact with the mask 6. With such a configuration, it is possible to reduce the amount of material flowing between the mask and the substrate during film formation.

別の実施形態では、図5(e)に示すように、基板キャリア9が支持体33を介してマスクフレーム6aの外周フレーム上に着座した時、少なくとも支持体33の近傍では、基
板5とマスク6が離間する。このような構成により、アライメントの精度を向上させることができる。ここでの「近傍」とは、基板5の一部がマスク6と接触しているときに、基板5の接触している部分よりも支持体33に近い基板5のいずれかの部分を指す。図5(e)では、基板5の全体がマスク6と離間している。この場合、当然に支持体33の近傍でも基板5とマスク6とが離間している。なお、基板5の撓みによって、基板5の一部がマスク6と接触してもよいし、あるいは、基板5の全部がマスク6と接触してもよい。
In another embodiment, as shown in FIG. 5E, when the substrate carrier 9 is seated on the outer peripheral frame of the mask frame 6a via the support 33, at least in the vicinity of the support 33, the substrate 5 and the mask 6 is separated. With such a configuration, alignment accuracy can be improved. "Nearby" here refers to any part of the substrate 5 that is closer to the support body 33 than the part of the substrate 5 that is in contact with the mask 6. In FIG. 5E, the entire substrate 5 is separated from the mask 6. In FIG. In this case, the substrate 5 and the mask 6 are naturally separated even in the vicinity of the support 33. Note that due to the deflection of the substrate 5, a part of the substrate 5 may come into contact with the mask 6, or the entire substrate 5 may come into contact with the mask 6.

基板キャリア9は、さらに、保持した基板5を介してマスク6を磁力によって引き付けるための磁力発生手段(不図示)を有してよい。磁力発生手段としては、永久磁石や電磁石、永電磁石を備えた磁石プレートを用いることができる。また、磁力発生手段は、キャリア面板30に対して相対移動可能に設けられていてもよい。より具体的には、磁力発生手段は、キャリア面板30との間の距離を変更可能に設けられてもよい。 The substrate carrier 9 may further include magnetic force generating means (not shown) for magnetically attracting the mask 6 via the held substrate 5. As the magnetic force generating means, a permanent magnet, an electromagnet, or a magnet plate equipped with a permanent magnet can be used. Further, the magnetic force generating means may be provided so as to be movable relative to the carrier face plate 30. More specifically, the magnetic force generating means may be provided so that the distance between it and the carrier face plate 30 can be changed.

なお、基板キャリア9が基板5を保持するための構成はチャック部材32に限定されない。例えば、反転時において構造的に基板5を下方から支持する支持部を備えた基板キャリア9を用いてもよい。あるいは、キャリア面板30の内部に設けられた電極への電圧印加により生成される静電気力によって基板5を保持する、静電チャックを用いてもよい。また、基板5とマスク6を共に挟持するクランプ機構を用いてもよい。 Note that the configuration for the substrate carrier 9 to hold the substrate 5 is not limited to the chuck member 32. For example, a substrate carrier 9 may be used that includes a support portion that structurally supports the substrate 5 from below during inversion. Alternatively, an electrostatic chuck may be used that holds the substrate 5 by electrostatic force generated by applying a voltage to an electrode provided inside the carrier face plate 30. Alternatively, a clamp mechanism that clamps the substrate 5 and mask 6 together may be used.

(マスクの構成)
図6(b)に示すように、マスク6は枠状のマスクフレーム6aに数μm~数十μm程度の厚さのマスク箔6bが溶接固定された構造を有する。マスクフレーム6aは、マスク箔6bが撓まないように、マスク箔6bをその面方向に引っ張った状態で支持する。マスク箔6bは、基板の被成膜領域を区画するための境界部を含む。マスク箔6bの有する境界部は基板5にマスク6を装着したときに基板5に密着し、成膜材料を遮蔽する。なお、マスク6はマスク箔6bが境界部のみを有するオープンマスクであってもよいし、境界部以外の部分、すなわち基板の被成膜領域に対応する部分に、画素または副画素に対応する微細な開口が形成されたファインマスクであってもよい。基板5としてガラス基板またはガラス基板上にポリイミド等の樹脂製のフィルムが形成された基板を用いる場合、マスクフレーム6aおよびマスク箔6bの主要な材料としては、鉄合金を用いることができ、ニッケルを含む鉄合金を用いることが好ましい。
(Mask composition)
As shown in FIG. 6(b), the mask 6 has a structure in which a mask foil 6b having a thickness of several μm to several tens of μm is welded and fixed to a frame-shaped mask frame 6a. The mask frame 6a supports the mask foil 6b in a stretched state in its surface direction so that the mask foil 6b does not bend. The mask foil 6b includes a boundary portion for demarcating a region of the substrate where a film is to be formed. The boundary portion of the mask foil 6b comes into close contact with the substrate 5 when the mask 6 is attached to the substrate 5, and shields the film forming material. Note that the mask 6 may be an open mask in which the mask foil 6b has only a boundary part, or a part other than the boundary part, that is, a part corresponding to the film-forming area of the substrate, may have fine particles corresponding to pixels or subpixels. It may also be a fine mask in which a wide opening is formed. When using a glass substrate or a substrate on which a resin film such as polyimide is formed as the substrate 5, an iron alloy can be used as the main material of the mask frame 6a and the mask foil 6b, and nickel can be used as the main material. It is preferable to use an iron alloy containing iron.

(アライメント)
図6(a)~図6(c)を参照して、撮像装置14を用いて基板マーク37とマスクマーク38の位置を計測する方法を説明する。図6(a)は、キャリア支持部8に保持されている状態のキャリア面板30上の基板5を上から見た図である。説明のため、キャリア面板30は点線で、透過されたように図示する。基板5の四隅には、基板マーク37a~37dが形成されている。撮像装置14a~14dは基板マーク37a~37dを同時計測する。制御部70は、各基板マーク37a~37dの中心位置4点の位置関係から、基板5のX方向移動量、Y方向移動量、回転量を算出することにより、基板5の位置情報を取得できる。
(alignment)
A method of measuring the positions of the board mark 37 and mask mark 38 using the imaging device 14 will be described with reference to FIGS. 6(a) to 6(c). FIG. 6A is a top view of the substrate 5 on the carrier face plate 30 held by the carrier support section 8. FIG. For illustrative purposes, the carrier face plate 30 is shown as a dotted line and shown as being transparent. Board marks 37a to 37d are formed at the four corners of the board 5. The imaging devices 14a to 14d simultaneously measure the board marks 37a to 37d. The control unit 70 can obtain the position information of the board 5 by calculating the amount of movement in the X direction, the amount of movement in the Y direction, and the amount of rotation of the board 5 from the positional relationship of the four center positions of each of the board marks 37a to 37d. .

図6(b)は、マスクフレーム6aを上面から見た図であり、四隅にマスクマーク38a~38dが形成されている。撮像装置14a~14dがマスクマーク38a~38dを同時計測する。制御部70は、各マスクマーク38a~38dの中心位置4点の位置関係からマスク6のX方向移動量、Y方向移動量、回転量などを算出することにより、マスク6の位置情報を取得できる。 FIG. 6(b) is a top view of the mask frame 6a, and mask marks 38a to 38d are formed at the four corners. The imaging devices 14a to 14d simultaneously measure the mask marks 38a to 38d. The control unit 70 can obtain the positional information of the mask 6 by calculating the amount of movement in the X direction, the amount of movement in the Y direction, the amount of rotation, etc. of the mask 6 from the positional relationship of the four center positions of each mask mark 38a to 38d. .

図6(c)は、マスクマーク38および基板マーク37の4つの組の中の1組を、撮像装置14によって計測した際の、撮像画像の視野44を模式的に示した図である。この例
では、撮像装置14の視野44内において、基板マーク37とマスクマーク38が同時に計測されているので、マーク中心同士の相対的な位置を測定することが可能である。なお、マスクマーク38および基板マーク37の形状は図示例に限られないが、中心位置を算出しやすく対称性を有する形状が好ましい。
FIG. 6C is a diagram schematically showing a field of view 44 of a captured image when one of the four sets of the mask mark 38 and the board mark 37 is measured by the imaging device 14. In this example, since the board mark 37 and the mask mark 38 are measured simultaneously within the field of view 44 of the imaging device 14, it is possible to measure the relative positions of the mark centers. Although the shapes of the mask mark 38 and the substrate mark 37 are not limited to the illustrated example, it is preferable that the shapes have symmetry so that the center position can be easily calculated.

精度の高いアライメントが求められる場合、撮像装置14として数μmのオーダーの高解像度を有する高倍率CCDカメラが用いられる。このような高倍率CCDカメラは、視野の径が数mmと狭いため、基板キャリア9をキャリア受け爪に載置した際の位置ズレが大きいと、基板マーク37が視野から外れてしまい、計測不可能となる。そこで、撮像装置14として、高倍率CCDカメラと併せて広い視野をもつ低倍率CCDカメラを併設するのが好ましい。その場合、二段階アライメントを行ってもよい。すなわち、マスクマーク38と基板マーク37が同時に高倍率CCDカメラの視野に収まるよう、低倍率CCDカメラを用いて大まかなアライメント(ラフアライメント)を行った後、高倍率CCDカメラを用いてマスクマーク38と基板マーク37の位置計測を行い、高精度なアライメント(ファインアライメント)を行う。 When highly accurate alignment is required, a high magnification CCD camera having a high resolution on the order of several μm is used as the imaging device 14. Such a high-magnification CCD camera has a narrow field of view of several millimeters, so if there is a large positional shift when the board carrier 9 is placed on the carrier receiving claw, the board mark 37 will be out of the field of view, resulting in measurement errors. It becomes possible. Therefore, it is preferable to provide the imaging device 14 with a low-magnification CCD camera having a wide field of view in addition to a high-magnification CCD camera. In that case, two-step alignment may be performed. That is, after performing rough alignment using a low magnification CCD camera so that the mask mark 38 and the board mark 37 are simultaneously within the field of view of the high magnification CCD camera, the mask mark 38 is aligned using a high magnification CCD camera. The position of the board mark 37 is measured, and highly accurate alignment (fine alignment) is performed.

撮像装置14によって取得したマスクフレーム6aの位置情報および基板5の位置情報から、マスクフレーム6aと基板5との相対位置情報を取得することができる。この相対位置情報を、アライメント装置の制御部70にフィードバックし、昇降スライダ10、面内移動手段11、キャリア支持部8など、それぞれの駆動部の駆動量を制御する。 From the positional information of the mask frame 6a and the positional information of the substrate 5 acquired by the imaging device 14, the relative positional information of the mask frame 6a and the substrate 5 can be acquired. This relative position information is fed back to the control section 70 of the alignment device to control the drive amount of each drive section such as the elevating slider 10, the in-plane moving means 11, and the carrier support section 8.

かかる撮像装置14の撮像画像を用いて、アライメント装置1は、基板キャリア9上の基板5とマスク6とをアライメントし、基板キャリア9(基板5)をマスク6上に載置する。その際まず、チャンバ4内に基板キャリア9が搬入され、キャリア支持部8の両側のキャリア受け爪上に載置される。 Using the captured image of the imaging device 14, the alignment device 1 aligns the substrate 5 on the substrate carrier 9 and the mask 6, and places the substrate carrier 9 (substrate 5) on the mask 6. At this time, first, the substrate carrier 9 is carried into the chamber 4 and placed on the carrier receiving claws on both sides of the carrier support section 8 .

続いて、基板キャリア9を下降させ、アライメント高さまで移動させる。そして撮像装置14が撮像を行い、基板マーク37とマスクマーク38の位置情報を取得する。制御部70は、基板マーク37とマスクマーク38が所定の位置関係の範囲内に接近するまで、基板キャリア9の面内移動と、撮像とを繰り返す。制御部70は、アライメントマークの撮像画像に基づき、基板5とマスク6の位置ずれ量が所定の閾値以下となった場合に、アライメント完了と判断する。そして、制御部は、基板キャリア9をマスク6に載置する。 Subsequently, the substrate carrier 9 is lowered and moved to the alignment height. Then, the imaging device 14 performs imaging and acquires position information of the board mark 37 and mask mark 38. The control unit 70 repeats the in-plane movement of the substrate carrier 9 and the imaging until the substrate mark 37 and the mask mark 38 approach each other within a predetermined positional relationship. Based on the captured image of the alignment mark, the control unit 70 determines that the alignment is complete when the amount of positional deviation between the substrate 5 and the mask 6 becomes less than or equal to a predetermined threshold. Then, the control unit places the substrate carrier 9 on the mask 6.

(基板キャリアとマスクの位置ずれ)
ここで、基板キャリア9(基板5)とマスク6の組み合わせがアライメント精度に与える影響を説明する。図7(a)~図7(c)は、アライメント装置1の内部において、マスク6の上に基板キャリア9(基板5)を載置する様子を示す模式図である。便宜上、図面を簡略化するために、キャリア支持部8や、基板キャリア9のチャック部材32や支持体33などは省略している。
(misalignment between substrate carrier and mask)
Here, the influence of the combination of the substrate carrier 9 (substrate 5) and mask 6 on alignment accuracy will be explained. FIGS. 7(a) to 7(c) are schematic diagrams showing how the substrate carrier 9 (substrate 5) is placed on the mask 6 inside the alignment apparatus 1. For convenience and to simplify the drawing, the carrier support portion 8, the chuck member 32, the support body 33, etc. of the substrate carrier 9 are omitted.

図7(a)は、基板キャリア9により保持された基板5をマスク6と位置合わせするときの断面図であり、制御部70が、キャリア支持部8により基板キャリア9をZ方向におけるアライメント高さに移動させた状態を示す。制御部70は、面内移動手段11により基板キャリア9を移動させて、撮像装置14の視野44において基板マーク37とマスクマーク38を所定の位置関係とすることで、図7(b)の平面図に示すように基板5をマスク6に位置合わせする。 FIG. 7A is a cross-sectional view when aligning the substrate 5 held by the substrate carrier 9 with the mask 6, in which the controller 70 controls the substrate carrier 9 using the carrier support 8 to adjust the alignment height in the Z direction. The state shown is that it has been moved to . The control unit 70 moves the substrate carrier 9 using the in-plane moving means 11 to bring the substrate mark 37 and the mask mark 38 into a predetermined positional relationship in the field of view 44 of the imaging device 14, so that the plane shown in FIG. The substrate 5 is aligned with the mask 6 as shown in the figure.

続いて制御部70は、Z昇降スライダ10を制御して基板キャリア9を下降させて、マスク6に載置する。しかしこの例においては、図7(c)に示すように、載置のときに基板キャリア9とマスク6の位置ずれが発生し、基板キャリア9が矢印Aの方向にずれてし
まう。この位置ずれが許容範囲を超えた場合、基板キャリア9を再度上昇させてから面内移動手段11による面内移動を行う必要があり、成膜に要する時間が長くなってしまう。あるいは、位置ずれの結果としてアライメント精度が低下し、成膜の品質が低下するおそれがある。
Subsequently, the control unit 70 controls the Z lift slider 10 to lower the substrate carrier 9 and place it on the mask 6. However, in this example, as shown in FIG. 7C, a positional shift occurs between the substrate carrier 9 and the mask 6 during placement, and the substrate carrier 9 is displaced in the direction of arrow A. If this positional shift exceeds the allowable range, it is necessary to raise the substrate carrier 9 again and then perform in-plane movement by the in-plane movement means 11, which increases the time required for film formation. Alternatively, alignment accuracy may be reduced as a result of positional deviation, and the quality of film formation may be reduced.

このような位置ずれは、主として基板キャリア9とマスク6それぞれの加工精度の限界に由来する個体差が原因となって発生する。したがって、位置ずれ量は、基板キャリア9とマスク6の組み合わせごとに変化する。しかし従来の成膜方法によれば、ある基板5の成膜に利用される基板キャリア9とマスク6の組み合わせは一定ではなかったため、基板5ごとにランダムな位置ずれが発生していた。そこで以下に、本願発明者らの検討にかかる、基板キャリア9とマスク6を合体するときの、上記個体差に起因する位置ずれを低減する方法を説明する。 Such positional deviation occurs mainly due to individual differences between the substrate carrier 9 and the mask 6 due to limits in their respective processing accuracy. Therefore, the amount of positional shift changes for each combination of substrate carrier 9 and mask 6. However, according to the conventional film-forming method, the combination of the substrate carrier 9 and mask 6 used for film-forming a certain substrate 5 was not constant, so random positional deviations occurred for each substrate 5. Therefore, a method investigated by the inventors of the present invention for reducing the positional deviation caused by the individual differences when combining the substrate carrier 9 and the mask 6 will be described below.

(マスク保管と運搬)
図8は、本実施例の成膜装置300が備えるチャンバの一つであるマスク搬送室116に配置される、マスク保管装置310の構成を示す断面図である。
(Mask storage and transportation)
FIG. 8 is a cross-sectional view showing the configuration of a mask storage device 310 arranged in the mask transfer chamber 116, which is one of the chambers included in the film forming apparatus 300 of this embodiment.

マスク保管装置310は概略、筐体311の内部にマスクストッカ312(カセットとも呼ぶ)が配置されて構成される。駆動機構314および直動機構315は、マスクストッカ312の昇降機構(昇降手段)である。すなわちマスクストッカ312は、制御部70の指示に従って駆動機構314が動作することにより、ボールねじ等を備える直動機構315に沿って上下方向に移動する。図示例のマスクストッカ312は、複数組の搬送保持機構313により、複数のマスク6を上下方向に並べた状態で保持できる。マスクストッカ312がマスク6を保持する位置をスロットとも呼び、図示例のマスクストッカ312は、上下方向にスロットを複数有する(この例では8個)。マスクストッカ312のスロットは、保管中のマスクを支持するマスク支持部とも呼べる。 The mask storage device 310 is generally configured such that a mask stocker 312 (also referred to as a cassette) is arranged inside a housing 311. The drive mechanism 314 and the linear motion mechanism 315 are elevating mechanisms (elevating means) for the mask stocker 312. That is, the mask stocker 312 moves in the vertical direction along a linear motion mechanism 315 including a ball screw or the like by operating the drive mechanism 314 according to instructions from the control unit 70 . The illustrated mask stocker 312 can hold a plurality of masks 6 in a vertically arranged state using a plurality of sets of transport and holding mechanisms 313. The position where the mask stocker 312 holds the mask 6 is also called a slot, and the mask stocker 312 in the illustrated example has a plurality of slots in the vertical direction (eight in this example). The slot of the mask stocker 312 can also be called a mask support section that supports the mask being stored.

マスク分離室113において基板キャリア9から分離されたマスク6が、搬送ローラ15によりマスク搬送室116に搬送されてくると、制御部70は、マスク6が保持されていない空きスロットを調べる。制御部70が空きスロットを調べる方法として例えば、予め全ての基板キャリア9の位置を常時記録しておき、それを参照してもよい。あるいは、スロットごとに重量センサや光学センサ、接触センサ等のセンサを設けてもよい。そして制御部70は、空きスロットの高さが搬送ローラ15によるマスク6の搬送高さに一致するように、駆動機構314を制御してマスクストッカ312の高さを変える。これにより、マスク6が、搬送ローラ15から空きスロットの搬送保持機構313に受け渡される。 When the mask 6 separated from the substrate carrier 9 in the mask separation chamber 113 is conveyed to the mask conveyance chamber 116 by the conveyance rollers 15, the control unit 70 checks an empty slot in which no mask 6 is held. As a method for the control unit 70 to check empty slots, for example, the positions of all the substrate carriers 9 may be constantly recorded in advance and referred to. Alternatively, a sensor such as a weight sensor, an optical sensor, or a contact sensor may be provided for each slot. Then, the control unit 70 controls the drive mechanism 314 to change the height of the mask stocker 312 so that the height of the empty slot matches the height of the conveyance of the mask 6 by the conveyance roller 15. As a result, the mask 6 is transferred from the transport roller 15 to the transport holding mechanism 313 in the empty slot.

マスク保管装置310が保管するマスク6の一つを次の成膜工程で用いる場合、マスク搬送室116からマスク搬入室90にマスク6を受け渡す。この場合、制御部70が、マスクストッカ312に保持される複数のマスク6の中からマスク6を選択する。そして、選択されたマスク6が保持されているスロットをマスク搬入室90の搬送ローラ15の高さに合わせるように、駆動機構314を制御する。そして搬送保持機構313が選択されたマスク6を搬出する。 When one of the masks 6 stored by the mask storage device 310 is used in the next film forming process, the mask 6 is transferred from the mask transfer chamber 116 to the mask carry-in chamber 90. In this case, the control unit 70 selects the mask 6 from among the plurality of masks 6 held in the mask stocker 312. Then, the drive mechanism 314 is controlled so that the slot holding the selected mask 6 is aligned with the height of the conveyance roller 15 in the mask loading chamber 90. Then, the transport holding mechanism 313 transports the selected mask 6.

このように、マスク搬送室116に複数のマスク6を保持可能なマスク保管装置310を配置することにより、インライン式の成膜装置においてマスク6を一時的に保管することが可能になる。その結果、マスク6の循環が停滞することを防止できる。さらに、複数のマスク6の中から任意のマスク6を選択的に搬出することが可能になる。したがって、基板キャリア9に応じたマスクの利用が可能になる。なお、マスク6の保持や受け渡しに用いる機構は、図示例に限定されない。例えばマスク6を保持するスロットの配列方向は上下方向(鉛直方向)でなくてもよいし、マスク6の受け渡しにロボットハンド等の移動
手段を用いてもよい。
In this way, by arranging the mask storage device 310 capable of holding a plurality of masks 6 in the mask transfer chamber 116, it becomes possible to temporarily store the masks 6 in an in-line film forming apparatus. As a result, the circulation of the mask 6 can be prevented from being stagnant. Furthermore, it becomes possible to selectively carry out any mask 6 from among the plurality of masks 6. Therefore, it is possible to use a mask suitable for the substrate carrier 9. Note that the mechanism used to hold and deliver the mask 6 is not limited to the illustrated example. For example, the direction in which the slots holding the masks 6 are arranged does not have to be in the vertical direction (vertical direction), and the masks 6 may be delivered using moving means such as a robot hand.

(組み合わせの例)
マスク保管装置310を用いた基板キャリア9とマスク6の組み合わせ制御例について説明する。図9は、縦軸に示す各々の基板搬入タイミングでの、成膜装置300の各チャンバにおける基板キャリア9、基板5およびマスク6の組み合わせを示す。図中、基板5は、成膜装置300に搬入される順に(S1,S2,S3…)と示す。また、搬送経路内を循環する基板キャリア9は、(C1,C2,C3…)と符号を付して区別する。また、同様に搬送経路内を循環するマスク6は、(M1,M2,M3…)と符号を付して区別する。
(Example of combination)
An example of controlling the combination of the substrate carrier 9 and the mask 6 using the mask storage device 310 will be described. FIG. 9 shows the combinations of the substrate carrier 9, substrate 5, and mask 6 in each chamber of the film forming apparatus 300 at each substrate loading timing shown on the vertical axis. In the figure, the substrates 5 are shown as (S1, S2, S3...) in the order in which they are carried into the film forming apparatus 300. Further, the substrate carriers 9 circulating within the transport path are distinguished by attaching symbols (C1, C2, C3, . . . ). Further, the masks 6 that similarly circulate within the transport path are distinguished by attaching symbols (M1, M2, M3, . . . ).

1枚目の基板S1が搬入されると、基板搬入室117において1つ目の基板キャリアC1に保持される(丸数字1)。その後、マスク搬入室90において1つ目のマスクM1に取り付けられる(丸数字2)。その後、アライメントと成膜を経て、マスク分離室113にてマスクM1が分離され、マスク搬送室116内のマスク保管装置310に保持される(丸数字3)。一方、基板キャリアC1は基板分離室114で基板S1を分離したのち、再び基板搬入室117に移動し、11枚目の基板S11を保持する(丸数字5)。 When the first substrate S1 is carried in, it is held by the first substrate carrier C1 in the substrate carrying chamber 117 (circled number 1). Thereafter, it is attached to the first mask M1 in the mask loading chamber 90 (circled number 2). Thereafter, after alignment and film formation, the mask M1 is separated in the mask separation chamber 113 and held in the mask storage device 310 in the mask transfer chamber 116 (circled number 3). On the other hand, after the substrate carrier C1 separates the substrate S1 in the substrate separation chamber 114, it moves again to the substrate loading chamber 117 and holds the eleventh substrate S11 (circled number 5).

上述したように、本実施例では基板キャリア9とマスク6を特定の組み合わせとする。そこで、基板キャリアC1にマスクM1を組み合わせるために、基板キャリアC1がマスク搬入室90に入るタイミング(丸数字6)に合わせて、制御部70がマスク搬送室116からマスク搬入室90にマスクM1を移動させる。ここで、基板S11に組み合わされる基板キャリアとマスクの組み合わせは、前回の成膜完了後にマスクが取り外される前の組み合わせと同一である。このとき、マスク搬送室116にはマスクM2~M5が保管された状態となる(丸数字7)。他の基板搬入時にも同様の処理を行うことで、特定の基板キャリア9と特定のマスク6の組み合わせが実現される。 As described above, in this embodiment, the substrate carrier 9 and the mask 6 are used in a specific combination. Therefore, in order to combine the mask M1 with the substrate carrier C1, the control unit 70 transfers the mask M1 from the mask transfer chamber 116 to the mask transfer chamber 90 in accordance with the timing (circled number 6) when the substrate carrier C1 enters the mask transfer chamber 90. move it. Here, the combination of the substrate carrier and the mask combined with the substrate S11 is the same as the combination before the mask was removed after the previous film formation was completed. At this time, masks M2 to M5 are stored in the mask transfer chamber 116 (circled number 7). By performing similar processing when other substrates are carried in, a combination of a specific substrate carrier 9 and a specific mask 6 is realized.

(組み合わせに応じた位置合わせ)
基板キャリア9とマスク6の組み合わせに応じた制御をするために、制御部70は予め、載置時の位置ずれ量(位置ずれの方向と距離)を計測し、メモリ(例えば記録部71)に記録しておく。その際、後述する基板キャリア識別情報や、マスク識別情報と紐付けて位置ずれ量を記録するとよい。また、基板キャリア9とマスク6の組み合わせごとに、基板キャリア識別情報とマスク識別情報を位置ずれ量に紐付けて記録してもよい。なお、位置ずれを打ち消すようなオフセット量を算出してメモリに保存しておいてもよい。例えば、アライメント時の基板マーク37とマスクマーク38の位置関係が、図7(b)に示すように所定の基準範囲内であり、載置後の位置関係が、図7(d)に示すように基準範囲外であった場合、マークの位置関係の変化に基づいて位置ずれ量を算出する。このような位置ずれの計測は、成膜装置300の設置時や定期検査時などのメンテナンスモードにおいて行ってもよい。
(Alignment according to combination)
In order to perform control according to the combination of the substrate carrier 9 and mask 6, the control unit 70 measures the amount of positional deviation (direction and distance of positional deviation) at the time of placement in advance, and stores it in a memory (for example, the recording unit 71). Record it. At that time, it is preferable to record the amount of positional deviation in association with substrate carrier identification information and mask identification information, which will be described later. Further, for each combination of substrate carrier 9 and mask 6, substrate carrier identification information and mask identification information may be recorded in association with the amount of positional deviation. Note that an offset amount that cancels out the positional deviation may be calculated and stored in the memory. For example, the positional relationship between the substrate mark 37 and the mask mark 38 during alignment is within a predetermined reference range as shown in FIG. 7(b), and the positional relationship after placement is as shown in FIG. 7(d). If the mark is outside the reference range, the amount of positional deviation is calculated based on the change in the positional relationship of the marks. Such measurement of positional deviation may be performed in a maintenance mode such as when installing the film forming apparatus 300 or during periodic inspection.

そして制御部70は、実際の成膜時のアライメントにおいて、基板キャリア9を載置するよりも前のタイミングで、基板キャリア9とマスク6の組み合わせに応じた位置ずれの量をメモリから取得する。そして、面内移動手段11を用いて、位置ずれを打ち消すようなオフセット量の分だけ基板キャリア9を移動させておく。これにより合体時の位置ずれを補正できるため、アライメントに要する時間を短縮することができる。 In alignment during actual film formation, the control unit 70 acquires the amount of positional deviation corresponding to the combination of the substrate carrier 9 and the mask 6 from the memory at a timing before the substrate carrier 9 is placed. Then, using the in-plane moving means 11, the substrate carrier 9 is moved by an amount of offset that cancels out the positional shift. This makes it possible to correct positional deviations during assembly, thereby reducing the time required for alignment.

制御部70は、基板キャリア9を識別するための固有ID(基板キャリア識別情報)と、マスク6を識別するための固有ID(マスク識別情報)をメモリに保存して管理している。制御部70は、各基板キャリア9および各マスク6の初期位置と、搬送手段を用いた各基板キャリア9およびマスク6の移動情報に基づき、成膜装置内における基板キャリア
9やマスク6の位置を特定することができる。これにより、上述したような特定の基板キャリア9とマスク6の組み合わせ制御を実現できる。ただし位置特定方法はこれに限られず、例えば基板キャリア9やマスク6に無線タグを配置してもよいし、画像認識処理を行ってもよい。
The control unit 70 stores and manages a unique ID (substrate carrier identification information) for identifying the substrate carrier 9 and a unique ID (mask identification information) for identifying the mask 6 in a memory. The control unit 70 determines the positions of the substrate carriers 9 and masks 6 in the film forming apparatus based on the initial positions of each substrate carrier 9 and each mask 6 and the movement information of each substrate carrier 9 and mask 6 using the transport means. can be specified. This makes it possible to control the specific combination of substrate carrier 9 and mask 6 as described above. However, the position specifying method is not limited to this, and for example, a wireless tag may be placed on the substrate carrier 9 or the mask 6, or image recognition processing may be performed.

制御部70は、特定の基板キャリア9とマスク6の組み合わせについて、位置ずれ量を記録部71に記録しておくことが好ましい。その場合、制御部70は、アライメント室100における基板キャリア9とマスク6の組み合わせが、記録部71に位置ずれ量が記録されている組み合わせとなるように、マスク搬送室116からマスク6を搬出することができる。これにより、基板キャリア9とマスク6の組み合わせに応じて適切なオフセット量を設定できる。制御部70は、マスク分離室113で互いに離間された一組の基板キャリア9とマスク6とが、同一の組み合わせで、アライメント室100において再び積層または載置されるように、マスク搬送室116からマスク6を搬出することも好ましい。 It is preferable that the control unit 70 records the amount of positional deviation in the recording unit 71 for a specific combination of the substrate carrier 9 and the mask 6. In that case, the control unit 70 carries out the mask 6 from the mask transfer chamber 116 so that the combination of the substrate carrier 9 and the mask 6 in the alignment chamber 100 is the combination whose positional deviation amount is recorded in the recording unit 71. be able to. Thereby, an appropriate amount of offset can be set depending on the combination of substrate carrier 9 and mask 6. The control unit 70 controls the control unit 70 from the mask transfer chamber 116 so that the pair of substrate carriers 9 and masks 6 separated from each other in the mask separation chamber 113 are stacked or placed again in the alignment chamber 100 in the same combination. It is also preferable to carry out the mask 6.

なお、制御部70は、マスク6と基板キャリア9の少なくとも一方の搬送を制御して、基板キャリア9とマスク6の組み合わせを制御してもよい。したがって制御部70は、装置内におけるマスク6の循環を一定とし、基板キャリア9の側の搬送をマスク6に合わせて制御してもよい。 Note that the control unit 70 may control the combination of the substrate carrier 9 and the mask 6 by controlling the transportation of at least one of the mask 6 and the substrate carrier 9. Therefore, the control unit 70 may keep the circulation of the mask 6 within the apparatus constant and control the transport on the substrate carrier 9 side in accordance with the mask 6.

上述のように、本実施例では、マスク保管装置310を設けることによりマスク6の保管と運搬を管理し、基板キャリア9に取り付けるタイミングを調整することができる。その結果、特定の基板キャリア9とマスク6を組み合わせることができるため、アライメント時の位置ずれを考慮したオフセット処理が可能になり、処理時間の短縮やアライメント精度の向上が実現できる。 As described above, in this embodiment, by providing the mask storage device 310, the storage and transportation of the mask 6 can be managed and the timing of attachment to the substrate carrier 9 can be adjusted. As a result, since a specific substrate carrier 9 and mask 6 can be combined, offset processing can be performed that takes into account positional deviation during alignment, and processing time can be shortened and alignment precision can be improved.

[実施例2]
続いて、実施例2について説明する。実施例1と同じ部分については同じ符号を付し、説明を省略する。
[Example 2]
Next, Example 2 will be described. The same parts as those in Example 1 are given the same reference numerals, and the description thereof will be omitted.

図10は本実施例のインライン式の成膜装置300の模式的な構成図である。実施例1では、マスク保管装置310は、マスク6をマスク分離室113からマスク搬入室90に向かう経路上にあるマスク搬送室116の内部に配置されていた。一方、本実施例のマスク保管装置310は、マスクを搬送する経路に沿って、マスク搬送室116と互いに行き来可能に配置されている。かかる構成によれば、マスク搬送室116のチャンバの物理的構成や内部空間のサイズにとらわれず、多数のマスク6を保管できるようになる。 FIG. 10 is a schematic configuration diagram of an in-line film forming apparatus 300 of this embodiment. In Example 1, the mask storage device 310 was arranged inside the mask transfer chamber 116 on the path for the masks 6 from the mask separation chamber 113 to the mask carry-in chamber 90. On the other hand, the mask storage device 310 of this embodiment is arranged so as to be movable to and from the mask transport chamber 116 along the path for transporting masks. According to this configuration, a large number of masks 6 can be stored regardless of the physical configuration of the chamber of the mask transfer chamber 116 or the size of the internal space.

[実施例3]
続いて、実施例3について説明する。実施例1および実施例2と同じ部分については同じ符号を付し、説明を省略する。
[Example 3]
Next, Example 3 will be explained. The same parts as in Example 1 and Example 2 are denoted by the same reference numerals, and the description thereof will be omitted.

図11は本実施例のインライン式の成膜装置300の模式的な構成図である。マスク保管装置310は、実施例1では、マスク分離室113からマスク搬入室90に向かう経路上に配され、実施例2では、マスクを搬送する経路に沿って配されていた。一方、本実施例のマスク保管装置310は、マスク搬入室90に接続され、マスク搬入室90との間でマスク6を搬出入できる位置に配されている。かかる構成によれば、マスク搬送室116のチャンバの物理的構成や内部空間のサイズにとらわれず、多数のマスク6を保管できる。さらに、マスク保管装置310がマスク搬入室90に直結されているため、搬出入に要する時間を短縮できる。 FIG. 11 is a schematic configuration diagram of an in-line film forming apparatus 300 of this embodiment. In the first embodiment, the mask storage device 310 was arranged on the route from the mask separation room 113 to the mask carrying-in room 90, and in the second embodiment, it was arranged along the route for transporting masks. On the other hand, the mask storage device 310 of this embodiment is connected to the mask carrying-in chamber 90 and is arranged at a position where the masks 6 can be carried in and out of the mask carrying-in chamber 90. According to this configuration, a large number of masks 6 can be stored regardless of the physical configuration of the chamber of the mask transfer chamber 116 or the size of the internal space. Furthermore, since the mask storage device 310 is directly connected to the mask loading chamber 90, the time required for loading and unloading can be shortened.

<電子デバイスの製造方法>
上記の基板処理装置を用いて、電子デバイスを製造する方法について説明する。ここでは、電子デバイスの一例として、有機EL表示装置のようなディスプレイ装置などに用いられる有機EL素子の場合を例にして説明する。なお、本発明に係る電子デバイスはこれに限定はされず、薄膜太陽電池や有機CMOSイメージセンサであってもよい。本実施例においては、上記の成膜方法を用いて、基板5上に有機膜を形成する工程を有する。また、基板5上に有機膜を形成させた後に、金属膜または金属酸化物膜を形成する工程を有する。このような工程により得られる有機EL表示装置600の構造について、以下に説明する。
<Method for manufacturing electronic devices>
A method for manufacturing an electronic device using the above substrate processing apparatus will be described. Here, as an example of an electronic device, an example of an organic EL element used in a display device such as an organic EL display device will be described. Note that the electronic device according to the present invention is not limited to this, and may be a thin film solar cell or an organic CMOS image sensor. This example includes a step of forming an organic film on the substrate 5 using the above film forming method. Further, after forming the organic film on the substrate 5, there is a step of forming a metal film or a metal oxide film. The structure of the organic EL display device 600 obtained through such a process will be described below.

図12(a)は有機EL表示装置600の全体図、図12(b)は一つの画素の断面構造を表している。図12(a)に示すように、有機EL表示装置600の表示領域61には、発光素子を複数備える画素62がマトリクス状に複数配置されている。発光素子のそれぞれは、一対の電極に挟まれた有機層を備えた構造を有している。なお、ここでいう画素とは、表示領域61において所望の色の表示を可能とする最小単位を指している。本図の有機EL表示装置の場合、互いに異なる発光を示す第1発光素子62R、第2発光素子62G、第3発光素子62Bの組合せにより画素62が構成されている。画素62は、赤色発光素子と緑色発光素子と青色発光素子の組合せで構成されることが多いが、黄色発光素子とシアン発光素子と白色発光素子の組み合わせでもよく、少なくとも1色以上であれば特に制限されるものではない。また、各発光素子は複数の発光層が積層されて構成されていてもよい。 FIG. 12(a) is an overall view of the organic EL display device 600, and FIG. 12(b) is a cross-sectional view of one pixel. As shown in FIG. 12A, in the display area 61 of the organic EL display device 600, a plurality of pixels 62 each including a plurality of light emitting elements are arranged in a matrix. Each of the light emitting elements has a structure including an organic layer sandwiched between a pair of electrodes. Note that the pixel herein refers to the smallest unit that can display a desired color in the display area 61. In the case of the organic EL display device shown in the figure, a pixel 62 is configured by a combination of a first light emitting element 62R, a second light emitting element 62G, and a third light emitting element 62B, which emit light different from each other. The pixel 62 is often composed of a combination of a red light emitting element, a green light emitting element, and a blue light emitting element, but it may also be a combination of a yellow light emitting element, a cyan light emitting element, and a white light emitting element. There are no restrictions. Moreover, each light emitting element may be configured by laminating a plurality of light emitting layers.

また、画素62を同じ発光を示す複数の発光素子で構成し、それぞれの発光素子に対応するように複数の異なる色変換素子がパターン状に配置されたカラーフィルタを用いて、1つの画素が表示領域61において所望の色の表示を可能としてもよい。例えば、画素62を少なくとも3つの白色発光素子で構成し、それぞれの発光素子に対応するように、赤色、緑色、青色の各色変換素子が配列されたカラーフィルタを用いてもよい。あるいは、画素62を少なくとも3つの青色発光素子で構成し、それぞれの発光素子に対応するように、赤色、緑色、無色の各色変換素子が配列されたカラーフィルタを用いてもよい。後者の場合には、カラーフィルタを構成する材料として量子ドット(Quantum Dot:QD)材料を用いた量子ドットカラーフィルタ(QD-CF)を用いることで、量子ドットカラーフィルタを用いない通常の有機EL表示装置よりも表示色域を広くすることができる。 In addition, the pixel 62 is configured with a plurality of light emitting elements that emit the same light, and one pixel is displayed using a color filter in which a plurality of different color conversion elements are arranged in a pattern so as to correspond to each light emitting element. It may be possible to display a desired color in the area 61. For example, the pixel 62 may be configured with at least three white light emitting elements, and a color filter may be used in which red, green, and blue color conversion elements are arranged to correspond to each light emitting element. Alternatively, the pixel 62 may be configured with at least three blue light emitting elements, and a color filter may be used in which red, green, and colorless color conversion elements are arranged to correspond to each light emitting element. In the latter case, by using a quantum dot color filter (QD-CF) that uses quantum dot (QD) material as the material constituting the color filter, it is possible to use a quantum dot color filter (QD-CF) that uses a quantum dot color filter. The display color gamut can be made wider than that of a display device.

図12(b)は、図12(a)のA-B線における部分断面模式図である。画素62は、基板5上に、第1電極(陽極)64と、正孔輸送層65と、発光層66R,66G,66Bのいずれかと、電子輸送層67と、第2電極(陰極)68と、を備える有機EL素子を有している。これらのうち、正孔輸送層65、発光層66R,66G,66B、電子輸送層67が有機層に当たる。また、本実施例では、発光層66Rは赤色を発する有機EL層、発光層66Gは緑色を発する有機EL層、発光層66Bは青色を発する有機EL層である。なお、上述のようにカラーフィルタまたは量子ドットカラーフィルタを用いる場合には、各発光層の光出射側、すなわち、図12(b)の上部または下部にカラーフィルタまたは量子ドットカラーフィルタが配置されるが、図示は省略する。 FIG. 12(b) is a schematic partial cross-sectional view taken along line AB in FIG. 12(a). The pixel 62 includes a first electrode (anode) 64, a hole transport layer 65, one of the light emitting layers 66R, 66G, and 66B, an electron transport layer 67, and a second electrode (cathode) 68 on the substrate 5. It has an organic EL element comprising the following. Among these, the hole transport layer 65, the light emitting layers 66R, 66G, 66B, and the electron transport layer 67 correspond to organic layers. Further, in this embodiment, the light-emitting layer 66R is an organic EL layer that emits red, the light-emitting layer 66G is an organic EL layer that emits green, and the light-emitting layer 66B is an organic EL layer that emits blue. Note that when using a color filter or a quantum dot color filter as described above, the color filter or quantum dot color filter is arranged on the light exit side of each light emitting layer, that is, on the upper or lower part of FIG. 12(b). However, illustration is omitted.

発光層66R,66G,66Bは、それぞれ赤色、緑色、青色を発する発光素子(有機EL素子と記述する場合もある)に対応するパターンに形成されている。また、第1電極64は、発光素子ごとに分離して形成されている。正孔輸送層65と電子輸送層67と第2電極68は、複数の発光素子62R,62G,62Bと共通で形成されていてもよいし、発光素子毎に形成されていてもよい。なお、第1電極64と第2電極68とが異物によってショートするのを防ぐために、第1電極64間に絶縁層69が設けられている。さらに、有機EL層は水分や酸素によって劣化するため、水分や酸素から有機EL素子を保護
するための保護層Pが設けられている。
The light-emitting layers 66R, 66G, and 66B are formed in patterns corresponding to light-emitting elements (sometimes referred to as organic EL elements) that emit red, green, and blue, respectively. Further, the first electrode 64 is formed separately for each light emitting element. The hole transport layer 65, the electron transport layer 67, and the second electrode 68 may be formed in common with the plurality of light emitting elements 62R, 62G, and 62B, or may be formed for each light emitting element. Note that an insulating layer 69 is provided between the first electrodes 64 in order to prevent the first electrodes 64 and the second electrodes 68 from shorting due to foreign matter. Furthermore, since the organic EL layer deteriorates due to moisture and oxygen, a protective layer P is provided to protect the organic EL element from moisture and oxygen.

次に、電子デバイスとしての有機EL表示装置の製造方法の例について具体的に説明する。まず、有機EL表示装置を駆動するための回路(不図示)および第1電極64が形成された基板5を準備する。 Next, an example of a method for manufacturing an organic EL display device as an electronic device will be specifically described. First, a substrate 5 on which a circuit (not shown) for driving an organic EL display device and a first electrode 64 are formed is prepared.

次に、第1電極64が形成された基板5の上にアクリル樹脂やポリイミド等の樹脂層をスピンコートで形成し、樹脂層をリソグラフィ法により、第1電極64が形成された部分に開口が形成されるようにパターニングし絶縁層69を形成する。この開口部が、発光素子が実際に発光する発光領域に相当する。 Next, a resin layer such as acrylic resin or polyimide is formed by spin coating on the substrate 5 on which the first electrode 64 is formed, and an opening is formed in the part where the first electrode 64 is formed by applying the lithography method to the resin layer. The insulating layer 69 is formed by patterning to form an insulating layer 69. This opening corresponds to the light emitting region where the light emitting element actually emits light.

次に、絶縁層69がパターニングされた基板5を第1の成膜装置に搬入し、基板保持ユニットにて基板を保持し、正孔輸送層65を、表示領域の第1電極64の上に共通する層として成膜する。正孔輸送層65は真空蒸着により成膜される。実際には正孔輸送層65は表示領域61よりも大きなサイズに形成されるため、高精細なマスクは不要である。ここで、本ステップでの成膜や、以下の各レイヤーの成膜において用いられる成膜装置は、上記各実施例のいずれかに記載された成膜装置である。 Next, the substrate 5 on which the insulating layer 69 has been patterned is carried into a first film forming apparatus, the substrate is held by a substrate holding unit, and the hole transport layer 65 is placed on the first electrode 64 in the display area. Deposit as a common layer. The hole transport layer 65 is formed by vacuum deposition. In reality, the hole transport layer 65 is formed to have a larger size than the display area 61, so a high-definition mask is not required. Here, the film-forming apparatus used in the film-forming in this step and in the film-forming of each layer below is the film-forming apparatus described in any of the above embodiments.

次に、正孔輸送層65までが形成された基板5を第2の成膜装置に搬入し、基板保持ユニットにて保持する。基板とマスクとのアライメントを行い、基板をマスクの上に載置し、基板5の赤色を発する素子を配置する部分に、赤色を発する発光層66Rを成膜する。本例によれば、マスクと基板とを良好に重ね合わせることができ、高精度な成膜を行うことができる。 Next, the substrate 5 on which up to the hole transport layer 65 has been formed is carried into a second film forming apparatus and held by a substrate holding unit. The substrate and the mask are aligned, the substrate is placed on the mask, and a light-emitting layer 66R that emits red light is formed on the portion of the substrate 5 where the element that emits red light is to be arranged. According to this example, the mask and the substrate can be satisfactorily overlapped, and highly accurate film formation can be performed.

発光層66Rの成膜と同様に、第3の成膜装置により緑色を発する発光層66Gを成膜し、さらに第4の成膜装置により青色を発する発光層66Bを成膜する。発光層66R、66G、66Bの成膜が完了した後、第5の成膜装置により表示領域61の全体に電子輸送層67を成膜する。発光層66R、66G、66Bのそれぞれは単層であってもよいし、複数の異なる層が積層された層であってもよい。電子輸送層67は、3色の発光層66R、66G、66Bに共通の層として形成される。本実施例では、電子輸送層67、発光層66R、66G、66Bは真空蒸着により成膜される。 Similarly to the formation of the light-emitting layer 66R, a light-emitting layer 66G that emits green light is formed by the third film-forming device, and a light-emitting layer 66B that emits blue light is further formed by the fourth film-forming device. After the film formation of the light emitting layers 66R, 66G, and 66B is completed, the electron transport layer 67 is formed over the entire display area 61 using a fifth film forming apparatus. Each of the light emitting layers 66R, 66G, and 66B may be a single layer, or may be a layer in which a plurality of different layers are laminated. The electron transport layer 67 is formed as a layer common to the three color light emitting layers 66R, 66G, and 66B. In this embodiment, the electron transport layer 67 and the light emitting layers 66R, 66G, and 66B are formed by vacuum deposition.

続いて、電子輸送層67の上に第2電極68を成膜する。第2電極は真空蒸着によって形成してもよいし、スパッタリングによって形成してもよい。その後、第2電極68が形成された基板を封止装置に移動してプラズマCVDによって保護層Pを成膜して(封止工程)、有機EL表示装置600が完成する。なお、ここでは保護層PをCVD法によって形成するものとしたが、これに限定はされず、ALD法やインクジェット法によって形成してもよい。 Subsequently, a second electrode 68 is formed on the electron transport layer 67. The second electrode may be formed by vacuum deposition or sputtering. Thereafter, the substrate on which the second electrode 68 is formed is moved to a sealing device, and a protective layer P is formed by plasma CVD (sealing step), thereby completing the organic EL display device 600. In addition, although the protective layer P was formed by the CVD method here, it is not limited to this, and may be formed by the ALD method or the inkjet method.

絶縁層69がパターニングされた基板5を成膜装置に搬入してから保護層Pの成膜が完了するまでは、水分や酸素を含む雰囲気にさらしてしまうと、有機EL材料からなる発光層が水分や酸素によって劣化してしまうおそれがある。従って、本例において、成膜装置間の基板の搬入搬出は、真空雰囲気または不活性ガス雰囲気の下で行われる。 If the substrate 5 on which the insulating layer 69 has been patterned is exposed to an atmosphere containing moisture or oxygen from the time the substrate 5 on which the insulating layer 69 has been patterned is carried into the film forming apparatus until the film forming of the protective layer P is completed, the light emitting layer made of the organic EL material may There is a risk of deterioration due to moisture and oxygen. Therefore, in this example, the substrates are transferred into and out of the film forming apparatus under a vacuum atmosphere or an inert gas atmosphere.

6:マスク、9:基板キャリア、90:マスク搬入室、100:アライメント室、110:成膜室、113:マスク分離室、300:成膜装置、310:マスク保管装置
6: Mask, 9: Substrate carrier, 90: Mask loading chamber, 100: Alignment chamber, 110: Film forming chamber, 113: Mask separation chamber, 300: Film forming apparatus, 310: Mask storage apparatus

Claims (11)

それぞれが基板を保持する複数の基板キャリアと複数のマスクとを搬送して成膜を行うインライン式の成膜装置であって、
前記複数の基板キャリアのうちの特定の基板キャリアと前記複数のマスクのうちの特定のマスクとを積層する、または、前記特定の基板キャリアを前記特定のマスクに載置する合体室と、
前記特定の基板キャリアと前記特定のマスクとを積層または載置した際の位置ずれ量を記録する記録部と、
前記記録部に記録された前記位置ずれ量を用いて前記合体室における前記特定の基板キャリアと前記特定のマスクの位置合わせを行う位置合わせ部と、
前記特定の基板キャリアに保持され前記特定のマスクに積層または載置された基板に前記特定のマスクを介して材料を堆積させて成膜する成膜室と、
前記成膜室から搬送された前記特定の基板キャリアと前記特定のマスクとを離間させる離間室と、
前記離間室にて離間された前記特定のマスクを一時的に保管するマスク保管室と、
前記離間室において互いに離間された前記特定の基板キャリアと前記特定のマスクとが前記合体室において再び積層または載置されるように、前記複数の基板キャリア及び前記複数のマスクの少なくとも一方の搬送を制御する制御部と、
を有することを特徴とするインライン式の成膜装置。
An in-line film forming apparatus that performs film formation by transporting a plurality of substrate carriers each holding a substrate and a plurality of masks,
a combination chamber in which a specific substrate carrier of the plurality of substrate carriers and a specific mask of the plurality of masks are laminated, or the specific substrate carrier is placed on the specific mask;
a recording unit that records the amount of positional deviation when the specific substrate carrier and the specific mask are stacked or placed;
an alignment unit that aligns the specific substrate carrier and the specific mask in the combination chamber using the amount of positional deviation recorded in the recording unit;
a film forming chamber that deposits a material through the specific mask to form a film on a substrate held by the specific substrate carrier and laminated or placed on the specific mask;
a separation chamber that separates the specific substrate carrier and the specific mask transported from the film forming chamber;
a mask storage room for temporarily storing the specific masks separated in the separation room;
Transporting at least one of the plurality of substrate carriers and the plurality of masks so that the specific substrate carrier and the specific mask, which were separated from each other in the separation chamber, are stacked or mounted again in the combination chamber. a control unit that controls;
An in-line film forming apparatus characterized by having:
前記マスク保管室は、前記離間室から前記合体室に向かう経路上に配される
ことを特徴とする請求項1に記載の成膜装置。
2. The film forming apparatus according to claim 1, wherein the mask storage chamber is arranged on a path from the separation chamber to the combination chamber.
前記マスク保管室は、前記離間室から前記合体室に向かう経路に沿って配される
ことを特徴とする請求項1に記載の成膜装置。
2. The film forming apparatus according to claim 1, wherein the mask storage chamber is arranged along a path from the separation chamber to the combination chamber.
前記マスク保管室は、それぞれが前記複数のマスクのいずれかを支持する複数のマスク支持部を有し、
前記複数のマスク支持部は鉛直方向に沿って配列される
ことを特徴とする請求項1から3のいずれか1項に記載の成膜装置。
The mask storage room has a plurality of mask support parts each supporting one of the plurality of masks,
The film forming apparatus according to any one of claims 1 to 3, wherein the plurality of mask support parts are arranged in a vertical direction.
前記マスク保管室は、
それぞれが前記複数のマスクのいずれかを支持し、鉛直方向に沿って配列された複数のマスク支持部を有するカセットと、
前記カセットを昇降させる昇降手段と、を有する
ことを特徴とする請求項1から3のいずれか1項に記載の成膜装置。
The mask storage room is
a cassette having a plurality of mask support parts each supporting one of the plurality of masks and arranged along the vertical direction;
4. The film forming apparatus according to claim 1, further comprising an elevating means for elevating and lowering the cassette.
前記位置合わせ部は、前記基板キャリアに保持される前記基板に平行な平面内で、前記基板キャリアまたは前記マスクの少なくとも一方を移動させる面内移動手段と、前記基板キャリアと前記マスクの相対距離を変化させる距離変化手段とを有し、
前記面内移動手段は、前記記録部に記録された前記位置ずれ量に基づくオフセット量を含めて前記移動を行う
ことを特徴とする請求項1から5のいずれか1項に記載の成膜装置。
The alignment section includes an in-plane moving means for moving at least one of the substrate carrier and the mask in a plane parallel to the substrate held by the substrate carrier, and a relative distance between the substrate carrier and the mask. and distance changing means for changing the distance,
The film forming apparatus according to any one of claims 1 to 5, wherein the in-plane movement means performs the movement including an offset amount based on the positional deviation amount recorded in the recording section. .
前記記録部は、前記位置ずれ量を、前記基板キャリアを識別するための基板キャリア識別情報に紐付けて記録する
ことを特徴とする請求項1から6のいずれか1項に記載の成膜装置。
The film forming apparatus according to any one of claims 1 to 6, wherein the recording unit records the positional deviation amount in association with substrate carrier identification information for identifying the substrate carrier. .
前記記録部は、前記位置ずれ量を、前記マスクを識別するためのマスク識別情報に紐づけて記録する
ことを特徴とする請求項1から7のいずれか1項に記載の成膜装置。
8. The film forming apparatus according to claim 1, wherein the recording unit records the positional deviation amount in association with mask identification information for identifying the mask.
前記記録部は、前記位置ずれ量を、前記基板キャリアを識別するための基板キャリア識別情報と、前記マスクを識別するためのマスク識別情報と、に紐づけて記録する
ことを特徴とする請求項1から6のいずれか1項に記載の成膜装置。
The recording unit records the positional deviation amount in association with substrate carrier identification information for identifying the substrate carrier and mask identification information for identifying the mask. 7. The film forming apparatus according to any one of 1 to 6.
前記制御部は、前記合体室における前記特定の基板キャリアと前記特定のマスクの組み合わせが、前記記録部に前記位置ずれ量が記録されている組み合わせとなるように、前記マスク保管室から前記特定のマスクを搬出する
ことを特徴とする請求項1から9のいずれか1項に記載の成膜装置。
The control unit is configured to transport the specific substrate carrier and the specific mask from the mask storage room so that the combination of the specific substrate carrier and the specific mask in the combination chamber is a combination in which the positional deviation amount is recorded in the recording unit. The film forming apparatus according to any one of claims 1 to 9, characterized in that the mask is carried out.
請求項1から10のいずれか1項に記載の成膜装置を用いて電子デバイスを製造する電子デバイスの製造装置。

An electronic device manufacturing apparatus for manufacturing an electronic device using the film forming apparatus according to any one of claims 1 to 10.

JP2021092524A 2021-06-01 2021-06-01 Film deposition equipment and electronic device manufacturing equipment Active JP7362693B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2021092524A JP7362693B2 (en) 2021-06-01 2021-06-01 Film deposition equipment and electronic device manufacturing equipment
CN202210576807.4A CN115433899B (en) 2021-06-01 2022-05-25 Film forming apparatus and electronic device manufacturing apparatus
KR1020220066609A KR20220162639A (en) 2021-06-01 2022-05-31 Film forming apparatus and manufacturing apparatus of electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2021092524A JP7362693B2 (en) 2021-06-01 2021-06-01 Film deposition equipment and electronic device manufacturing equipment

Publications (2)

Publication Number Publication Date
JP2022184582A JP2022184582A (en) 2022-12-13
JP7362693B2 true JP7362693B2 (en) 2023-10-17

Family

ID=84241011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021092524A Active JP7362693B2 (en) 2021-06-01 2021-06-01 Film deposition equipment and electronic device manufacturing equipment

Country Status (3)

Country Link
JP (1) JP7362693B2 (en)
KR (1) KR20220162639A (en)
CN (1) CN115433899B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008056966A (en) 2006-08-30 2008-03-13 Kyocera Corp Film-forming apparatus and film-forming method
JP2014141706A (en) 2013-01-23 2014-08-07 Tokyo Electron Ltd Film deposition apparatus and film deposition method
JP2019083311A (en) 2017-10-31 2019-05-30 キヤノントッキ株式会社 Alignment device, alignment method, film deposition device, film deposition method, and method for manufacturing electronic device
JP2019189939A (en) 2018-04-18 2019-10-31 キヤノントッキ株式会社 Work piece housing device and work piece housing method and evaporation method using the same
JP2020094262A (en) 2018-12-14 2020-06-18 キヤノントッキ株式会社 Transfer carrier, vapor deposition apparatus, and electronic device manufacturing apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW490714B (en) * 1999-12-27 2002-06-11 Semiconductor Energy Lab Film formation apparatus and method for forming a film
KR101802863B1 (en) * 2016-12-06 2017-11-29 양락주 Mask cassette
EP3394881A1 (en) * 2017-03-17 2018-10-31 Applied Materials, Inc. Methods of handling a mask device in a vacuum system, mask handling apparatus, and vacuum system
CN108287454A (en) * 2018-01-29 2018-07-17 信利(惠州)智能显示有限公司 Mask plate Load System
JP7224165B2 (en) 2018-12-14 2023-02-17 キヤノントッキ株式会社 Alignment equipment, vapor deposition equipment, and electronic device manufacturing equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008056966A (en) 2006-08-30 2008-03-13 Kyocera Corp Film-forming apparatus and film-forming method
JP2014141706A (en) 2013-01-23 2014-08-07 Tokyo Electron Ltd Film deposition apparatus and film deposition method
JP2019083311A (en) 2017-10-31 2019-05-30 キヤノントッキ株式会社 Alignment device, alignment method, film deposition device, film deposition method, and method for manufacturing electronic device
JP2019189939A (en) 2018-04-18 2019-10-31 キヤノントッキ株式会社 Work piece housing device and work piece housing method and evaporation method using the same
JP2020094262A (en) 2018-12-14 2020-06-18 キヤノントッキ株式会社 Transfer carrier, vapor deposition apparatus, and electronic device manufacturing apparatus

Also Published As

Publication number Publication date
KR20220162639A (en) 2022-12-08
CN115433899B (en) 2024-01-30
JP2022184582A (en) 2022-12-13
CN115433899A (en) 2022-12-06

Similar Documents

Publication Publication Date Title
US10431779B2 (en) Organic layer deposition apparatus, method of manufacturing organic light-emitting display apparatus using the same, and organic light-emitting display apparatus manufactured using the method
JP7244401B2 (en) Alignment apparatus, film formation apparatus, alignment method, film formation method, and electronic device manufacturing method
JP7159238B2 (en) Substrate carrier, deposition apparatus, and deposition method
JP7190997B2 (en) Adsorption and alignment method, adsorption system, film formation method, film formation apparatus, and electronic device manufacturing method
JP7271740B2 (en) Film forming apparatus, electronic device manufacturing apparatus, film forming method, and electronic device manufacturing method
KR102530431B1 (en) Mask attaching device, film forming apparatus, mask attaching method, film forming method, manufacturing method of electronic device, mask, substrate carrier, and set of substrate carrier and mask
CN114790538B (en) Film forming apparatus
JP7362693B2 (en) Film deposition equipment and electronic device manufacturing equipment
JP7069280B2 (en) Film forming equipment, film forming method, and manufacturing method of electronic devices
CN113851407A (en) Alignment apparatus, film forming apparatus, alignment method, method for manufacturing electronic device, and storage medium
JP2020070491A (en) Alignment device, film deposition, alignment method, film deposition method, and electronic device manufacturing method
WO2023238478A1 (en) Film formation device, film formation method, alignment device, and alignment method
CN114807841B (en) Alignment device, film forming device and adjustment method
JP7246598B2 (en) Adsorption device, film formation device, adsorption method, film formation method, and electronic device manufacturing method
KR102613397B1 (en) Substrate carrier, film forming apparatus, conveying method of substrate carrier, and film forming method
JP7078694B2 (en) Film forming equipment, film forming method, and manufacturing method of electronic devices
JP7078696B2 (en) Film forming equipment, film forming method, and manufacturing method of electronic devices
KR20230016606A (en) Substrate carrier, film forming apparatus, film forming method, and manufacturing method of electronic device
JP2023178622A (en) Film formation device, film formation method, alignment device, and alignment method
JP2023178641A (en) Film formation device, film formation method, alignment device, and alignment method
JP2020070490A (en) Adsorption and alignment method, adsorption system, film deposition method, film deposition device, and electronic device manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231004

R150 Certificate of patent or registration of utility model

Ref document number: 7362693

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150