JP7350983B2 - 半導体装置製造方法およびプラズマ処理方法 - Google Patents

半導体装置製造方法およびプラズマ処理方法 Download PDF

Info

Publication number
JP7350983B2
JP7350983B2 JP2022506213A JP2022506213A JP7350983B2 JP 7350983 B2 JP7350983 B2 JP 7350983B2 JP 2022506213 A JP2022506213 A JP 2022506213A JP 2022506213 A JP2022506213 A JP 2022506213A JP 7350983 B2 JP7350983 B2 JP 7350983B2
Authority
JP
Japan
Prior art keywords
film
gas
organic
channel fet
formation region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022506213A
Other languages
English (en)
Other versions
JPWO2022185491A1 (ja
Inventor
守 薬師寺
謙一 桑原
真 三浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Publication of JPWO2022185491A1 publication Critical patent/JPWO2022185491A1/ja
Application granted granted Critical
Publication of JP7350983B2 publication Critical patent/JP7350983B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、半導体装置製造方法およびプラズマ処理方法に関する。
集積回路チップの機能・性能を絶え間なく向上させるには、トランジスタの微細化が不可欠である。2020年版のIRDS(International Roadmap for Devices and Systems)によれば、テクノロジーノードと呼ばれるパターンの微細化を示す指標は、現在5nmノードであり、2024年には3nmノード、更に2033年には1nmノードとなることが予想されている。実際のトランジスタ配線幅と配線間隔を合計したサイズをピッチ、その半分をハーフピッチ(HP: Half Pitch)と呼び、一般的にテクノロジーノードが5nmノードの場合ではHP15nm、3nmノードの場合ではHP12nm、1nmノードではHP8nmになるとされている。また、トランジスタの微細化、並びにトランジスタの性能向上をはかるための素子の構造、材料に関する様々な検討がなされている。
例えば、金属酸化膜半導体電界効果トランジスタ(MOSFET:Metal Oxide Semiconductor Field Effect Transistor)におけるソース/ドレイン領域への歪の導入、高誘電体ゲート絶縁膜及びメタル金属の導入、プレーナー(Planar)型からフィン(Fin)型のような新構造の導入などが挙げられる。Fin型FETは、3次元構造を有するフィン型チャネルの周囲をゲートで覆うことでゲートの制御性を向上させ、トランジスタの微細化に伴うゲート長の縮小に起因する短チャネル効果(すなわち、リーク電流の増大)を抑制できる。
更に近年微細化が進み、チャネルはワイヤ状またはシート状の積層体とされ、その周囲がゲートで覆われたゲートオールアラウンド(GAA:Gate All Around)型FETとなりつつある。GAA型FETは、ワイヤまたはシート状のチャネル(ナノワイヤチャネル/ナノシートチャネル)周囲全てをゲートで覆うことで、Fin型FETに比べて更にゲート制御性を向上させ、短チャネル効果の一層の抑制が可能になる。Fin型FET、GAA型FETのいずれにおいても、FETのチャネル上には、ゲート絶縁膜、仕事関数制御金属膜(WFM:Work Function Metal、以下単にWFM膜と称す)、低抵抗ゲート金属膜(HK:High-k、以下単にHK膜と称す)が積層される。
このうち、WFM膜はFETが動作状態となるための閾値電圧を決定するため、FETの種類・用途に応じて適切な金属材料を用いる必要がある。このため、FETの製造プロセスにおいて、FETの種類ごとにWFM膜を付け替える工程が必要となり、製造プロセスを複雑化するとともに、トランジスタの微細化にとっての制約となる。例えば、非常に狭ピッチ構造のnチャネルFETとpチャネルFETとを作り分けるためには、一方のWFM膜だけを除去した後、除去した部分に適切な金属を成膜する必要がある。これを実現するためには、工程数の増加や様々な課題があり実現が困難であった。
特許文献1は、GAA型FETの製造プロセスにおけるnチャネルFETとpチャネルFETの製造方法に関する手法を開示するものである。
米国特許出願公開第2018/0308768号明細書
配線の微細化が益々進む中、それに伴いゲート寸法も非常に狭ピッチ化した構造となりつつある。狭ピッチのGAA型FET構造のnチャネルFETとpチャネルFETとを作り分けるためには、一方のWFM膜だけを除去した後、除去した部分に適切な金属を成膜する必要がある。これを実現するためには、成膜、エッチングおよびマスクパターニング等の製造工程を複数回繰り返す必要があり、工程数が膨大になるという課題があった。その中でも特に、マスクパターニング工程に課題があり、エッチングしない部分に複数回マスクパターニングする必要がある。近年の狭ピッチ化によって、マスクパターニングの位置を合わせ精度として、数ナノメートルオーダー以下が求められるようになり、そのマスクパターニングの位置合わせの精度が限界に達しつつあった。
特許文献1の技術によれば、GAA型FETの製造プロセスにおけるチャネルFETの種類ごとにWFM膜を成膜するため、つまりnチャネルFETとpチャネルFETとを製造するため、一方のチャンネル領域のWFM膜は除去せず、もう一方のチャネル領域のWFM膜だけを除去する手法が開示されている。これによると、一方のチャンネル領域の除去したWFM膜部分に適切なWFM膜を成膜することが可能となり、製造プロセスの簡略化、更には工程数の増加を抑制することが可能であることが述べられている。特に、特許文献1の手法によれば、マスクパターニングを複数回繰り返す必要が無く、狭ピッチ化で非常に困難であったマスクパターニングの位置合わせが不要となる。図1に、特許文献1の第一の手法を示す。図1において、RnはnチャネルFET形成領域を示し、RpはpチャネルFET形成領域を示す。図1の(A)はハードマスク膜102のエッチング工程を示し、図1の(B)は有機埋込膜103のエッチング工程を示し、図1の(C)はnチャネルFET形成領域RnのWFM膜104のアンダーエッチング工程を示し、図1の(D1)及び(D2)は有機埋込膜103のリフロー工程を示す。
図1の(A)に記載の試料としての半導体装置の断面構成について簡単に説明する。半導体装置の断面構成は、シート状の複数のチャネル層106が積層体とされ、そのチャネル層106の全周囲がゲート絶縁膜(不図示)を介してゲート電極105で覆われたゲートオールアラウンド(GAA:Gate All Around)型FETのゲート部分の構成である。この図では、GAA型FETソース領域及びドレイン領域は記載されていない。
nチャネルFETが形成されるnチャネルFET形成領域Rnと、pチャネルFETが形成されるpチャネルFET形成領域Rpとがシリコン製の半導体基板(Si基板)107の表面側に設けられている。半導体基板107の表面側に形成されたシリコン酸化膜のような絶縁膜の上側には、WFM膜(仕事関数制御金属膜)104が形成されている。半導体基板107は半導体ウエハとみなすこともできる。
nチャネルFET形成領域Rnには、nチャネルFETのチェネル層(チャネル領域)を構成するシリコン膜(Si膜)106が縦方向に3つ設けられており、3つのシリコン膜106の各々の周囲全域がHK膜(低抵抗ゲート金属膜)105に覆われている。そして、3つのシリコン膜106の周囲全域を覆うHK膜105のそれぞれはWFM膜104に覆われている。シリコン膜(Si膜)106とHK膜105との間には、ゲート絶縁膜(不図示)が設けられている。
pチャネルFET形成領域Rpには、nチャネルFET形成領域Rnと同様に、pチャネルFETのチェネル層(チャネル領域)を構成するシリコン膜106が縦方向に3つ設けられており、3つのシリコン膜106の各々の周囲全域がHK膜105に覆われている。そして、3つのシリコン膜106の周囲全域を覆うHK膜105のそれぞれはその周囲全域をWFM膜104によって覆われている。シリコン膜(Si膜)106とHK膜105との間には、ゲート絶縁膜(不図示)が設けられている。
nチャネルFET形成領域RnとpチャネルFET形成領域Rpにおいて、有機埋込膜103がWFM膜104の上面及び側面を覆うように形成されている。ハードマスク膜102がpチャネルFET形成領域Rpの有機埋込膜103の上面を覆うように選択的に形成され、レジストマスク膜101がハードマスク膜102の上側を覆うように選択的に形成されている。
特許文献1の第一の手法(処理は図1に示した矢印の方向に(A)->(B)->(C)と進行)によると、まず、図1の(A)に示すように、レジストマスク膜101に沿ってSiO等のハードマスク膜102をエッチングする。その後、図1の(B)に示すように、ハードマスク膜102をエッチングマスクとして用いて、nチャネルFET形成領域Rnの有機平坦化膜(OPL: Organic Planarizing Layer)、あるいはポリメチルメタクリレート膜(PMMA: Polymethyl methacrylate)等の有機物からなる有機埋込膜103をエッチングする。その後、図1の(C)に示すように、露出したnチャネルFET形成領域RnのWFM膜104をある一定量エッチング(アンダーエッチング)し、かつnチャネルFET形成領域RnとpチャネルFET形成領域Rpの底部のWFM膜104を除去する。これにより、pチャネルFET形成領域RpとnチャネルFET形成領域Rnの境界でWFM膜104は分離されると同時に、pチャネルFET形成領域Rpの有機埋込膜103の底部に凹型の空間111が形成される。
図示しないが、図1の(C)の次に、pチャネルFET形成領域Rpの有機埋込膜103に対し、そのガラス転移温度よりわずかに低い熱処理(リフロー処理)を加えることによって、pチャネルFET形成領域Rpの有機埋込膜103をわずかに溶解させ、同領域Rpの有機埋込膜103の底部に形成された凹型の空間111を覆い埋める。これにより、p型チャネルFET形成領域RpのWFM膜104及びHK膜105は完全に有機埋込膜103で保護されることとなる。次に、n型チャネルFET形成領域RnのWFM膜104だけをエッチングにより除去し、除去した部分に適切なWFM膜104を成膜することで、nチャネルFETとpチャネルFETとを形成するが可能となると述べられている。
しかし、特許文献1の第一の手法によると、pチャネルFET形成領域Rpの有機埋込膜103をわずかに溶解させるための温度制御が非常に困難であった。pチャネルFET形成領域Rpの有機埋込膜103に対し、そのガラス転移温度よりわずかに低く維持することで、同領域Rpの有機埋込膜103の底部に形成された凹型の空間111のみを覆い埋めるが、ウエハ表面全体に対して、その温度をガラス転移温度よりわずかに低く均一に制御することは非常に困難であった。例えば、有機埋込膜103のリフロー工程において、ガラス転移温度よりわずかに低い温度で制御できず、さらに低い温度で熱処理した場合は、図1の(D1)で示すように、有機埋込膜103の溶解がおこらず、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111を覆い埋めることは出来ない。有機埋込膜103のリフロー工程において、図1の(D2)で示すように、逆に、ガラス転移温度と同温度、あるいはガラス転移温度よりも高い温度で熱処理した場合は、有機埋込膜103の溶解が促進され有機埋込膜103の流動的な移動が起こり、覆ってはならない部分であるnチャネルFET形成領域Rnまで溶解した有機埋込膜103が覆ってしまう恐れがある。また、溶解が促進されることで、pチャネルFET形成領域Rpの有機埋込膜103が流動的に移動、有機埋込膜欠損112が発生し、本来の役割を果たさなくなる。このように特許文献1の第一の手法によると、温度許容範囲が非常に狭く、極わずかな温度の違いでも必要な効果が得られないということが課題であった。
図2に、特許文献1の第二の手法を示す。図2において、RnはnチャネルFET形成領域を示し、RpはpチャネルFET形成領域を示す。図2の(A)はハードマスク膜102のエッチング工程を示し、図2の(B)は有機埋込膜103のエッチング工程を示し、図2の(C)はnチャネルFET形成領域RnのWFM膜104のアンダーエッチング工程を示し、図2の(E)は膜212の堆積工程を示し、図2の(F)は膜212のエッチング工程を示す。
特許文献1の第二の手法(処理は図2に示した矢印の方向に、(A)->(B)->(C)->(E)->(F)と進行)によると、まず、図2の(A)に示すように、レジストマスク膜101に沿ってSiO等のハードマスク膜102をエッチングする。その後、図2の(B)に示すように、nチャネルFET形成領域Rnの有機物からなる有機埋込膜103をエッチングする。その後、図2の(C)に示すように、露出したnチャネルFET形成領域RnのWFM膜104をある一定量エッチング(アンダーエッチング)し、かつnチャネルFET形成領域RnとpチャネルFET形成領域Rpの底部のWFM膜104を除去する。これにより、pチャネルFET形成領域RpとnチャネルFET形成領域Rnの境界でWFM膜104は分離されると同時に、pチャネルFET形成領域Rpの有機埋込膜103の底部に凹型の空間111が形成される(ここまでは第一の手法と同じである)。
次に、図2の(E)に示すように、原子層堆積法(ALD:Atomic Layer Deposition)により、SiO膜、あるいはSiO膜以外の酸化した膜(以下では代表として、SiO膜と称する)212を、ある一定の膜厚量で、pチャネルFET形成領域RpとnチャネルFET形成領域Rnの全面に堆積させる。この時、ALDの原理上その堆積範囲は、pチャネルFET形成領域Rpの有機埋込膜103、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111、nチャネルFET形成領域RnのWFM膜104及びHK膜105の各表面に1原子層ずつ堆積し、その堆積膜厚は全ての同じとなる。
次に、図2の(F)に示すように、ALDによって堆積したSiO膜212をエッチングで除去する。この時、前述したpチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111に形成されたSiO膜212のみを選択的にエッチングせず、あるいはエッチング速度を遅らせることで除去せず残す。これにより、p型チャネルFET形成領域RpのWFM膜104及びHK膜105は完全に有機埋込膜103とALDで堆積されたSiO膜212で保護されることとなる。次に、n型チャネルFET形成領域RnのWFM膜104だけをエッチングにより除去し、除去した部分に適切なWFM膜104を成膜することで、nチャネルFET形成領域RnとpチャネルFET形成領域Rpを形成することが可能となると述べられている。
しかし、特許文献1の第二の手法によると、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111に形成されたSiO膜212のみを選択的にエッチングせず、あるいはエッチング速度を遅らせることは非常に困難であった。仮に、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111の大きさが他の部分と比べて非常に小さい場合は、エッチングを進行させるエッチャントの侵入の阻害、いわゆるマイクロローディング効果により、エッチング速度が他の部分よりも遅くなる可能性もある。pチャネルFET形成領域Rpの有機埋込膜103の底部に形成される凹型の空間111の形成過程によると、少なくともnチャネルFET形成領域Rnの底部にも同じ大きさの凹型の空間が形成されることとなる。つまり、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成される凹型の空間111とnチャネルFET形成領域Rnの底部に形成される凹型の空間大きさは同じとなり、同じ大きさの両空間にALDによって堆積膜が形成されることとなる。
つまり、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111のSiO膜212のみを選択的にエッチングせず、あるいはエッチング速度を遅らせることで除去せず残すことは困難である。つまり、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111の堆積膜212のみを選択的にエッチングせず、あるいはエッチング速度を遅らせることで除去せず残すと、必ず、nチャネルFET形成領域Rnの底部に形成された凹型の空間のSiO2膜も除去できず残るからである。
このように特許文献1の第二の手法によると、ALDによって堆積膜(212)を形成し、その後のエッチングにおいて、pチャネルFET形成領域Rpの有機埋込膜103の底部に形成された凹型の空間111のALDによるSiO膜212のみを残せないことが課題であった。
本発明の課題は、かかる問題に鑑みてなされたものであり、基板の上に設けられた金属膜(WFM膜及びHK膜)と絶縁膜(有機埋込膜)において、絶縁膜(有機埋込膜)の表面に保護膜(有機膜)を選択的に堆積させることが可能なプラズマ処理方法を提供することにある。
本発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。
すなわち、代表的な本発明の一態様にかかる半導体装置製造方法は、Gate All Around型Field effect transistorを備える半導体装置を製造する半導体装置製造方法であって、n型チャネルの有機膜を除去する工程と、チャネル間の底面の仕事関数制御金属膜を除去する工程と、p型チャネルの有機膜に保護膜を形成する工程と、n型チャネルの仕事関数制御金属膜を除去する工程と、を有する。
本発明の半導体装置製造方法によれば、p型チャネルの有機膜に対してのみに選択的に保護膜を堆積することができる。そのため、p型チャネルFET形成領域の仕事関数制御金属膜を完全に保護膜で保護することができる。その後、n型チャネルFETの仕事関数制御金属膜だけをエッチングにより除去することができる。
上記した以外の課題、構成及び効果は、以下の実施形態の説明により明らかにされる。
図1は、特許文献1の第一の手法を示した図である。 図2は、特許文献1の第二の手法を示した図である。 図3は、本発明に利用可能なマイクロ波プラズマエッチング装置の構成を示した図である。 図4は、実施例1のエッチング進行過程を示したフロー図である。 図5は、表面改質処理を説明する図である。 図6は、有機膜堆積処理を説明する図である。 図7は、X線光電子分光法を用いた分析結果を示す図である。 図8は、実施例2のエッチング進行過程のフロー図である。 図9は、有機膜の膜厚を示す図である。
本発明の各実施形態について図面を参照しながら以下に説明する。
本実施形態では、熱処理やALDによらずp型チャネルFET形成領域のWFM膜及びHK膜を完全に有機膜で保護する技術として、表面改質処理によるインキュベーション時間の差に着目し、n型チャネルFET形成領域の金属膜であるWFM膜及びHK膜とp型チャネルFET形成領域の有機埋込膜のそれぞれの表面に形成する有機膜の膜厚を制御する。インキュベーション時間とは、成膜開始から、生じた成膜種が臨界核の大きさまで拡大して膜として出現するまでの時間である。また、この時間は、表面改質処理条件によって変化する。
つまり、表面改質処理によりインキュベーション時間を制御することで有機埋込膜に選択的に有機膜を形成することが可能となる。その処理手順としては、表面改質処理後に有機膜堆積処理を行うことで、それぞれの表面に形成する有機膜の膜厚を制御する。
本実施形態では、WFM膜及びHK膜に対して、有機埋込膜に選択的に有機膜を形成させるプラズマ処理方法において、WFM膜及びHK膜のインキュベーション時間が有機埋込膜のインキュベーション時間より長くなるように、プラズマエッチング用パラメータを制御し表面改質処理を行う。
また、WFM膜及びHK膜に対して、有機埋込膜に選択的に有機膜を形成させるプラズマ処理方法において、WFM膜及びHK膜のインキュベーション時間が有機埋込膜のインキュベーション時間より長くなるように、かつ、有機埋込膜に有機膜を形成するように、プラズマエッチング用パラメータを制御し表面改質処理と有機膜堆積処理とを実施するのが好ましい。
(プラズマ処理装置の全体構成例)
本発明の一実施形態に利用可能なECR(Electron Cyclotron Resonance)方式のマイクロ波プラズマエッチング装置(以下、「プラズマ処理装置」ともいう。)300の概略断面図を、図3に示す。このマイクロ波プラズマエッチング装置300において、上部が開放された真空容器301の上部に真空容器301内にエッチングガスを供給するためのシャワープレート302(例えば石英製)と、誘電体窓303(例えば石英製)とを配置し、真空容器301を密封することにより、プラズマ処理室である処理室304を形成する。シャワープレート302にはエッチングガスを流すためのガス供給装置305が接続される。
また、真空容器301には排気用開閉バルブ317及び排気速度可変バルブ318を介し真空排気装置306が接続されている。処理室304内は、排気用開閉バルブ317を開とし、真空排気装置306を駆動することで減圧され、大気圧から減圧された真空状態となる。処理室304内の圧力は、排気速度可変バルブ318により所望の圧力に調整される。
エッチングガスは、ガス供給装置305からシャワープレート302を介して処理室304内に供給され、排気速度可変バルブ318を介して真空排気装置306によって排気される。
また、シャワープレート302に対向して真空容器301の下部に試料台である試料載置用電極311が設けられる。プラズマを生成するための高周波電力を処理室304に供給するため、誘電体窓303の上方には電磁波を伝送する導波管307が設けられる。導波管307へ伝送される電磁波は、マイクロ波電源である電磁波発生用電源309から整合器319を介し発振させる。電磁波発生用電源309には、パルス発生ユニット321が取り付けられており、これによりマイクロ波を任意に設定可能な繰り返し周波数でパルス変調することができる。電磁波の周波数は特に限定されないが、本実施形態では2.45GHzのマイクロ波を使用する。
処理室304の外部には、磁場を生成する磁場生成コイル310が設けてあり、電磁波発生用電源309より発振された電磁波は、磁場生成コイル310により生成された磁場との相互作用により、処理室304内に高密度プラズマを生成し、試料台である試料載置用電極311上に配置された、試料であるウエハ312にエッチング処理を施す。
シャワープレート302、試料載置用電極311、磁場生成コイル310、排気用開閉バルブ317、排気速度可変バルブ318及びウエハ312は、処理室304の中心軸上に対して同軸に配置されているため、エッチングガスの流れやプラズマにより生成されたラジカル及びイオン、更にはエッチングにより生成された反応生成物は、ウエハ312に対し同軸に供給されて排気される。この同軸配置は、エッチングレート、エッチング形状のウエハ面内均一性を軸対称に近づけ、ウエハ処理の均一性を向上させる効果がある。試料載置用電極311は電極表面が溶射膜(図示せず)で被覆されており、試料載置用電極311には、高周波フィルタ315を介して直流電源316が接続されている。さらに、試料載置用電極311には、マッチング回路313を介して高周波バイアス電源314が接続される。高周波バイアス電源314は、パルス発生ユニット321に接続され、時間変調された高周波電力を選択的に試料載置用電極311に供給することができる。高周波バイアスの周波数は特に限定されないが、本実施形態では400kHzの高周波バイアスを使用するが、形態によっては800kHzを使用する場合もある。
上述のECRマイクロ波プラズマエッチング装置300を制御する制御部320は、入力手段(図示せず)により、電磁波発生用電源309、高周波バイアス電源314、パルス発生ユニット121のパルスのオン・オフタイミングを含む繰り返し周波数やデューティー比、エッチングを実施するためのガス流量、処理圧力、マイクロ波電力、高周波バイアス電力、コイル電流、パルスのオン時間、オフ時間等のエッチングパラメータを制御している。
デューティー比とは、パルスの1周期に対するオン期間の割合のことである。本実施形態では、パルスの繰り返し周波数は5Hz~10kHzまで変更でき、デューティー比は1%~90%まで変更できる。また、時間変調の設定はオン時間、オフ時間でも可能である。次に、上述したマイクロ波プラズマエッチング装置300を用いた実施例について以下に説明する。
図4に、実施例1のエッチング進行過程を示す。図4において、RnはnチャネルFET形成領域を示し、RpはpチャネルFET形成領域を示す。図4の(A)はnチャネルFET形成領域Rnのハードマスク膜102を除去するエッチング工程を示す。図4の(B)はnチャネルFET形成領域Rnの有機埋込膜103を除去するエッチング工程を示す。図4の(C)はnチャネルFET形成領域RnのWFM膜104のアンダーエッチング工程を示しており、この工程により、nチャネルFET形成領域RnとpチャネルFET形成領域Rpのチャネル間の底面のWFM膜104が除去される。したがって、図4の(C)はnチャネルFET形成領域RnとpチャネルFET形成領域Rpのチャネル間の底面のWFM膜104を除去する工程ということもできる。図4の(G)は表面改質処理工程を示し、図4の(H)は有機膜堆積処理工程を示す。表面改質処理工程と有機膜堆積処理工程とによって、p型チャネル形成領域Rpの有機埋込膜103に保護膜431を選択的に形成する。したがって、表面改質処理工程と有機膜堆積処理工程とは、p型チャネル形成領域Rpの有機膜103に保護膜431を形成する工程ということもできる。表面改質処理工程は第一の工程ということができ、有機膜堆積処理工程は第一の工程後に実行される第二の工程ということができる。図4の(I)はn型チャネル形成領域RnのWFM膜104を除去する工程を示す。図4のエッチング処理は、図4に示した矢印の方向に、(A)->(B)->(C)->(G)->(H)->(I)と進行する。
図4の(A)に記載の試料としての半導体装置の断面構成について簡単に説明する。半導体装置の断面構成は、シート状の複数のチャネル層106が積層体とされ、そのチャネル層106の全周囲がゲート絶縁膜(不図示)を介してゲート電極105で覆われたゲートオールアラウンド(GAA:Gate All Around)型FETのゲート部分の構成である。この図では、GAA型FETソース領域及びドレイン領域は記載されていない。
nチャネルFETが形成されるnチャネルFET形成領域Rnと、pチャネルFETが形成されるpチャネルFET形成領域Rpとがシリコン製の半導体基板(Si基板)107の表面側に設けられている。半導体基板107の表面側に形成されたシリコン酸化膜のような絶縁膜の上側には、WFM膜(仕事関数制御金属膜)104が形成されている。半導体基板107は半導体ウエハとみなすこともできる。
nチャネルFET形成領域Rnには、nチャネルFETのチェネル層(チャネル領域)を構成するシリコン膜(Si膜)106が縦方向に3つ設けられており、3つのシリコン膜106の各々の周囲全域がHK膜(低抵抗ゲート金属膜)105に覆われている。そして、3つのシリコン膜106の周囲全域を覆うHK膜105のそれぞれはWFM膜104に覆われている。シリコン膜(Si膜)106とHK膜105との間には、ゲート絶縁膜(不図示)が設けられている。
pチャネルFET形成領域Rpには、nチャネルFET形成領域Rnと同様に、pチャネルFETのチェネル層(チャネル領域)を構成するシリコン膜106が縦方向に3つ設けられており、3つのシリコン膜106の各々の周囲全域がHK膜105に覆われている。そして、3つのシリコン膜106の周囲全域を覆うHK膜105のそれぞれはその周囲全域をWFM膜104によって覆われている。シリコン膜(Si膜)106とHK膜105との間には、ゲート絶縁膜(不図示)が設けられている。
nチャネルFET形成領域RnとpチャネルFET形成領域Rpにおいて、有機埋込膜103がWFM膜104の上面及び側面を覆うように形成されている。ハードマスク膜102がpチャネルFET形成領域Rpの有機埋込膜103の上面を覆うように選択的に形成され、レジストマスク膜101がハードマスク膜102の上側を覆うように選択的に形成されている。本実施例のサンプル(試料)では、ハードマスク膜102としてSiO膜を使用したが、SiN等のハードマスク膜を使用しても良い。また、有機埋込膜103にBARC(BARC: Bottom Anti-Reflective Coat)膜の有機膜を使用した例を説明するが、OPL(Organic Planarizing Layer)膜やPMMA(Polymethyl methacrylate)膜等の有機を使用しても良い。更に、WFM膜(仕事関数制御金属膜)104にTiN膜を使用し、HK膜(低抵抗ゲート金属膜)105にHfO膜を使用した例を説明するが、FETの種類・用途に応じて適切な金属材料を用いても良い。
実施例1によると、まず、図4の(A)に示すように、レジストマスク膜101に沿ってハードマスク膜であるSiO膜102をエッチングする。その後、図4の(B)に示すように、nチャネルFET形成領域Rnの有機埋込膜であるBARC膜103をエッチングする。その後、図4の(C)に示すように、露出したnチャネルFET形成領域RnのWFM膜であるTiN膜104をある一定量エッチング(アンダーエッチング)し、かつnチャネルFET形成領域RnとpチャネルFET形成領域Rpのチャネル間の底面(底部)のTiN膜104を除去する。これにより、pチャネルFET形成領域RpとnチャネルFET形成領域Rnの境界でTiN膜104は分離されると同時に、pチャネルFET形成領域Rpの有機埋込膜103の底部に凹型の空間111が形成される。
次に、図4の(G)に示すように、マイクロ波プラズマエッチング装置300の処理室304内に表面改質用プラズマ421を発生させて、pチャネルFET形成領域RpのBARC膜103の側面部の表面改質処理(以下、表面改質処理を(G)として示す)を実施する。ここで、表面改質処理(G)は、図5に示すような六フッ化硫黄SFガスの流量(100sccm)とそのガス圧力(1.0Pa)、ならびに、マイクロ波電源パワー(600W)と高周波バイアス電源パワー(0W)、処理時間(5sec)の表面改質処理条件51を、図3のマイクロ波プラズマエッチング装置300に設定して行った。六フッ化硫黄SFガスは、フッ素含有ガス、第1ガスまたは第1プロセスガスということができる。つまり、図4の(G)に示す表面改質処理工程(第一の工程)は、フッ素含有ガスを用いて生成されたプラズマをnチャネルFET形成領域RnとpチャネルFET形成領域Rpに曝す工程ということができる。
本実施例では、第1ガスとしてSFガスを使用する例を説明しているが、一般的なプロセスガスとして用いられている、三フッ化窒素(NF)、トリフルオロメタン(CHF)、フルオロメタン(CHF)、ジフルオロメタン(CH),パーフルオロシクロブタン(C)等、フッ素を含むガスを第1ガスとして使用しても良い。
次に、図4の(H)に示すように、有機膜堆積処理(以下、有機膜堆積処理を(H)として示す)を実施する。有機膜堆積処理(H)では、処理室304内に有機膜堆積用プラズマ422を発生させて、pチャネルFET形成領域RpのBARC膜103の側面部および空間111に有機膜(保護膜)431を形成する。ここで、有機膜堆積処理(H)は、図6に示すような窒素Nガス(流量:60sccm)、アルゴンArガス(流量:288sccm)、メタン(CH)ガス(流量:12sccm)からなる混合ガスとガス圧力(3.5Pa)、ならびに、マイクロ波電源パワー(1000W)と高周波バイアス電源パワー(0W)、処理時間(10sec)の有機膜堆積処理条件61を図3のマイクロ波プラズマエッチング装置に設定して行った。また、メタン(CH)ガスの代わりに、エタン(C)ガス、プロパン(C)ガス、ブタン(C10)、塩化メチル(CHCl)ガス、塩化メチレン(CHCl)ガス、メタノール(CHOH)ガス等の炭素と水素を含有するガスでも良く、メタン(CH)ガスは、第2ガスまたは第2プロセスガスということができる。つまり、図4の(H)に示す有機膜堆積処理工程(第二の工程)は、表面改質処理工程(第一の工程)の実施の後、炭素と水素を含有するガスを用いて生成されたプラズマをnチャネルFET形成領域RnとpチャネルFET形成領域Rpに曝す工程ということができる。また、表面改質処理工程(第一の工程)の処理時間(5sec)は、有機膜堆積処理工程(第二の工程)の処理時間(10sec)より短くされている。
次に、図4の(I)に示すように、n型チャネル形成領域RnのWFM膜104を除去する工程が実施される。
この有機膜堆積処理(H)によって、pチャネルFET形成領域RpのBARC膜103の側面部および底部の凹型の空間111内部に有機膜431を形成する。このとき、nチャネルFET形成領域RnのTiN膜104表面及びHfO膜105表面では有機膜431は形成されない。このように、表面改質処理(G)後に有機膜堆積処理(H)を行うことで、pチャネルFET形成領域RpのBARC膜103に選択的に有機膜431を形成させ、かつnチャネルFET形成領域RnのWFM膜104及びHK膜105には有機膜431を形成させないことが可能となる。また、サンプルを劈開し、有機膜431の断面をSEM(Scanning Electron Microscope)により観察測長し、有機膜431の膜厚が5nm程度であることが分かった。
次に、本実施例によるBARC膜103に選択的に有機膜431を形成することが可能となるメカニズムを調査するため、本実施例の表面改質処理(G)の前後において、BARC膜103表面、TiN膜104表面及びHfO膜105表面をX線光電子分光法(X-Ray Photoelectron Spectroscopy:以下、XPSと称する)を用いて分析した。BARC膜103、TiN膜104及びHfO膜105の各表面の元素比率分析結果を図7に示す。図7において、71はBARC膜103表面の元素比率(%)を示し、72はHfo膜105表面の元素比率(%)を示し、73はTiN膜104表面の元素比率(%)を示す。
図7に示すように、表面改質処理(G)後の特徴として、各膜表面のフッ素(F)の割合が増加していることが判明した。つまり、表面改質処理(G)前後においてフッ素(F)の割合が、BARC膜103表面では0.9%から30.7%へ変化し、Hfo膜105表面では2.4%から22.0%へ変化し、TiN膜104表面では0.6%から7.9%へ変化している。
これは、BARC膜103の表面ではCFx、TiN膜104の表面ではTiFx、HfO膜105の表面ではHfFx等のフッ化状態で存在していると推測される。この結果から考えられるメカニズムとして、表面改質処理(G)により各膜表面はフッ化状態となり、次の有機膜堆積処理(H)でC(カーボン)あるいはCH(メチル)が供給される。BARC膜103自体の主成分はC(カーボン)であるから、表面改質処理(G)によるF(フッ素)と有機膜堆積処理(H)によるC(カーボン)では結合が強いためCF(フッ化カーボン)としてBARC膜103の表面に堆積あるいは吸着すると考えられる。一方、TiN膜104の表面,HfO膜105の表面では、TiFx(フッ化チタン)のHfFx(フッ化ハフニウム)となり離脱あるいは揮発すると考えられる。
このメカニズムについては、有機膜堆積処理(H)の処理時間が5秒では、TiN膜104の表面やHfO膜の表面において有機膜431の堆積が確認されず、有機膜堆積処理(H)の処理時間を10秒とすると有機膜431の堆積が確認されたことからも言える。有機膜堆積処理(H)の処理時間が5秒から10秒の間にTiN膜104の表面やHfO膜105の表面のF(フッ素)が離脱あるいは揮発して無くなると、一転して有機膜431が堆積されたと考えられ、前述したインキュベーションタイムの制御メカニズムもこれであると考える。
本実施例では、熱処理やALDによらずp型チャネルFET形成領域RpのWFM膜104及びHK膜105を完全に有機膜431で保護する技術として表面改質処理(G)によるインキュベーション時間の差に着目し、BARC膜103、WFM膜104及びHK膜105それぞれの表面に形成する有機膜431の膜厚を制御する。ここで、インキュベーション時間とは、成膜開始から、生じた成膜種が臨界核の大きさまで拡大して膜として出現するまでの時間である。また、この時間は、表面改質処理条件(51を参照)によって変化する。
つまり、表面改質処理(G)によりインキュベーション時間を制御することで有機埋込膜103(の表面)に選択的に有機膜431を形成することが可能となる。その処理手順としては、表面改質処理(G)後に有機膜堆積処理(H)を行うことで、BARC膜103、WFM膜104及びHK膜105それぞれの表面に形成する有機膜431の膜厚を制御する。
本実施例では、WFM膜104及びHK膜105に対して、有機埋込膜103に選択的に有機膜431を形成させるプラズマ処理方法において、WFM膜104及びHK膜105に対する有機膜431のインキュベーション時間が有機埋込膜103に対する有機膜431のインキュベーション時間より長くなるように、プラズマエッチング用パラメータ(51)を制御し表面改質処理(G)を行う。
また、WFM膜104及びHK膜105に対して、有機埋込膜103に選択的に有機膜431を形成させるプラズマ処理方法において、WFM膜104及びHK膜105に対する有機膜431のインキュベーション時間が有機埋込膜103に対する有機膜431のインキュベーション時間より長くなるように、かつ、有機埋込膜103に有機膜431を形成するように、プラズマエッチング用パラメータ(51、61)を制御し、表面改質処理(G)と有機膜堆積処理(H)とを実施するのが好ましい。
図8に、実施例2のエッチング進行過程のフロー図を示す。図9は、図8のフローを実施した時の有機膜の膜厚を示す。実施例1の場合、表面改質処理(G)と有機膜堆積処理(H)とを実施した後のサンプルを劈開し、形成された有機膜431の断面をSEMにより観察測長した。その結果、有機膜431の膜厚が5nm程度であることが分かった。次に、実施例2では、形成する有機膜431の膜厚を増加させる手法について述べる。
実施例1の図4で述べた通り、BARC膜103の表面のみ有機膜431の膜厚を更に増加させるためには、TiN膜104の表面及びHfO膜105の表面に対しては、インキュベーションタイム増加のためF(フッ素)を枯渇させないことで有機膜431を堆積させず、BARC膜103の表面に対しては有機膜堆積処理(H)の処理時間を増加させることで有機膜431の膜厚は増加する。
図8の実施例2のエッチング進行過程を説明する。
(ステップS1:SiO2膜エッチング工程)
図4の(A)に示すように、レジストマスク膜101に沿ってハードマスク膜であるSiO膜102をエッチングする。
(ステップS2:有機埋込膜エッチング工程)
図4の(B)に示すように、nチャネルFET形成領域Rnの有機埋込膜であるBARC膜103をエッチングする。
(ステップS3:WFM膜アンダーエッチング工程)
図4の(C)に示すように、露出したnチャネルFET形成領域RnのWFM膜であるTiN膜104をある一定量エッチング(アンダーエッチング)し、かつnチャネルFET形成領域RnとpチャネルFET形成領域Rpの底部のTiN膜104を除去する。
(ステップS4:表面改質処理工程)
図4の(G)に示すように、マイクロ波プラズマエッチング装置300の処理室304内に表面改質用プラズマ421を発生させて、pチャネルFET形成領域RpのBARC膜103の側面部の表面改質処理を実施する。表面改質処理は、図5に示すような表面改質処理条件51を利用する。
(ステップS5:有機膜堆積処理工程)
図4の(H)に示すように、有機膜堆積処理を実施する。有機膜堆積処理では、処理室304内に有機膜堆積用プラズマ422を発生させて、pチャネルFET形成領域RpのBARC膜103の側面部および空間111に有機膜431を形成する。有機膜堆積処理は、図6に示すような有機膜堆積処理条件61を利用する。
(複数回繰り返し)
そして、ステップS4とステップS5とを複数回繰り返して実施する。繰り返し回数は、n回とする。ここで、nの値は、有機膜431の膜厚が所望の膜厚値となるように設定することになる。
(ステップS6:有機膜の膜厚測定工程)
その後、有機膜431の膜厚を測定する。
なお、図8には図示されていなが、有機膜431の膜厚が所望の膜厚値となったとステップS6で確認された後、図4の(I)に示すように、n型チャネル形成領域RnのWFM膜104を除去する工程が実施される。
図9に示すように、繰り返し回数nが1回の場合、有機膜431の膜厚は、例えば、5nmである。繰り返し回数nが2回の場合、有機膜431の膜厚は、例えば、9nmである。繰り返し回数nが3回の場合、有機膜431の膜厚は、例えば、14nmである。
図9に示す通り、ステップS4(表面改質処理工程)からステップS5(有機膜堆積処理工程)を複数回(n回)繰り返すことで、TiN膜104の表面及びHfO膜105の表面に対しては有機膜431の膜厚は増加せず、BARC膜103の表面に対しては有機膜431の膜厚は増加させることができる。
本実施例では、マイクロ波を用いたECR(Electron Cyclotron Resonance)方式のマイクロ波プラズマエッチング装置での適用例について説明したが、これに限定されるものではなく、容量結合型または誘導結合型のプラズマ生成手段を用いたプラズマエッチング装置を利用しても良い。
上述した通り、本実施形態のWFM膜及びHK膜に対して、有機埋込膜に選択的に有機膜を形成させるプラズマ処理方法において、前記WFM膜及びHK膜のインキュベーション時間が有機埋込膜のインキュベーション時間より長くなるように、プラズマエッチング用パラメータを制御し表面改質処理と有機膜堆積処理を行うことで有機埋込膜のみに選択的に有機膜が形成可能となり、更に表面改質処理と有機膜堆積処理を複数回繰り返すことで有機埋込膜に形成される有機膜の膜厚は増加する。
以上、本発明者によってなされた発明を実施例に基づき具体的に説明したが、本発明は前記実施例に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることは言うまでもない。例えば、上記した実施例は本発明を分かりやすく説明するために詳細に説明したものであり、必ずしも説明した全ての構成を備えるものに限定されるものではない。また、各実施例の構成の一部について、他の構成の追加・削除・置換をすることが可能である。
101・・・レジストマスク膜
102・・・ハードマスク膜
103・・・有機埋込膜(有機膜)
104・・・WFM膜(仕事関数制御金属膜)
105・・・HK膜(低抵抗ゲート金属膜)
106・・・Si膜(チェネル層)
107・・・Si基板
111・・・凹型の空間
421・・・表面改質用プラズマ
422・・・有機膜堆積用プラズマ
431・・・有機膜(保護膜)

Claims (12)

  1. Gate All Around型Field effect transistorを備える半導体装置を製造する半導体装置製造方法において、
    n型チャネルの有機膜を除去する工程と、
    チャネル間の底面の仕事関数制御金属膜を除去する工程と、
    p型チャネルの有機膜に保護膜を形成する工程と、
    n型チャネルの仕事関数制御金属膜を除去する工程と、を有し、
    前記保護膜を形成する工程は、フッ素含有ガスを用いて生成されたプラズマを前記n型チャネルおよび前記p型チャネルに曝す第一の工程を有することを特徴とする半導体装置の製造方法。
  2. 請求項1に記載の半導体装置の製造方法において、
    前記保護膜を形成する工程は、前記第一の工程後、炭素と水素を含有するガスを用いて生成されたプラズマを前記n型チャネルおよび前記p型チャネルに曝す第二の工程をさらに有することを特徴とする半導体装置の製造方法。
  3. 請求項2に記載の半導体装置の製造方法において、
    前記第一の工程の処理時間は、前記第二の工程の処理時間より短いことを特徴とするプラズマ処理方法。
  4. 請求項1に記載の半導体装置の製造方法において、
    前記フッ素含有ガスは、SF ガス、NF ガス、CHF ガス、CH Fガス、CH ガスまたはC ガスであることを特徴とする半導体装置の製造方法。
  5. 請求項2に記載の半導体装置の製造方法において、
    前記炭素と水素を含有するガスは、メタンガスであることを特徴とする半導体装置の製造方法。
  6. 請求項2に記載の半導体装置の製造方法において、
    前記第二の工程のプラズマは、メタンガスと窒素ガスとアルゴンガスの混合ガスを用いて生成されることを特徴とする半導体装置の製造方法。
  7. Gate All Around型Field effect transistorを形成するプラズマ処理方法において、
    n型チャネルの有機膜を除去する工程と、
    チャネル間の底面の仕事関数制御金属膜を除去する工程と、
    p型チャネルの有機膜に保護膜を形成する工程と、
    n型チャネルの仕事関数制御金属膜を除去する工程と、を有し、
    前記保護膜を形成する工程は、フッ素含有ガスを用いて生成されたプラズマを前記n型チャネルおよび前記p型チャネルに曝す第一の工程を有することを特徴とするプラズマ処理方法。
  8. 請求項に記載のプラズマ処理方法において、
    前記保護膜を形成する工程は、前記第一の工程後、炭素と水素を含有するガスを用いて生成されたプラズマを前記n型チャネルおよび前記p型チャネルに曝す第二の工程をさらに有することを特徴とするプラズマ処理方法。
  9. 請求項に記載のプラズマ処理方法において、
    前記第一の工程の処理時間は、前記第二の工程の処理時間より短いことを特徴とするプラズマ処理方法。
  10. 請求項に記載のプラズマ処理方法において、
    前記フッ素含有ガスは、SFガス、NFガス、CHFガス、CHFガス、CHガスまたはCガスであることを特徴とするプラズマ処理方法。
  11. 請求項に記載のプラズマ処理方法において、
    前記炭素と水素を含有するガスは、メタンガスであることを特徴とするプラズマ処理方法。
  12. 請求項に記載のプラズマ処理方法において、
    前記第二の工程のプラズマは、メタンガスと窒素ガスとアルゴンガスの混合ガスを用いて生成されることを特徴とするプラズマ処理方法。
JP2022506213A 2021-03-04 2021-03-04 半導体装置製造方法およびプラズマ処理方法 Active JP7350983B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2021/008520 WO2022185491A1 (ja) 2021-03-04 2021-03-04 半導体装置製造方法およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
JPWO2022185491A1 JPWO2022185491A1 (ja) 2022-09-09
JP7350983B2 true JP7350983B2 (ja) 2023-09-26

Family

ID=83154100

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022506213A Active JP7350983B2 (ja) 2021-03-04 2021-03-04 半導体装置製造方法およびプラズマ処理方法

Country Status (6)

Country Link
US (1) US20230411167A1 (ja)
JP (1) JP7350983B2 (ja)
KR (1) KR20220125713A (ja)
CN (1) CN115315800A (ja)
TW (1) TWI830163B (ja)
WO (1) WO2022185491A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180308766A1 (en) 2017-04-25 2018-10-25 International Business Machines Corporation Gate metal patterning for tight pitch applications
US20190378906A1 (en) 2018-06-12 2019-12-12 International Business Machines Corporation Nanosheet single gate (sg) and extra gate (eg) field effect transistor (fet) co-integration
US20200006356A1 (en) 2018-06-27 2020-01-02 International Business Machines Corporation Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
US20200051872A1 (en) 2018-08-09 2020-02-13 International Business Machines Corporation Boundary protection for cmos multi-threshold voltage devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0625004D0 (en) * 2006-12-15 2007-01-24 Nxp Bv Semiconductor device and method of manufacture
US10903124B2 (en) * 2019-04-30 2021-01-26 International Business Machines Corporation Transistor structure with n/p boundary buffer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180308766A1 (en) 2017-04-25 2018-10-25 International Business Machines Corporation Gate metal patterning for tight pitch applications
US20190378906A1 (en) 2018-06-12 2019-12-12 International Business Machines Corporation Nanosheet single gate (sg) and extra gate (eg) field effect transistor (fet) co-integration
US20200006356A1 (en) 2018-06-27 2020-01-02 International Business Machines Corporation Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions
US20200051872A1 (en) 2018-08-09 2020-02-13 International Business Machines Corporation Boundary protection for cmos multi-threshold voltage devices

Also Published As

Publication number Publication date
WO2022185491A1 (ja) 2022-09-09
JPWO2022185491A1 (ja) 2022-09-09
TW202249184A (zh) 2022-12-16
CN115315800A (zh) 2022-11-08
TWI830163B (zh) 2024-01-21
KR20220125713A (ko) 2022-09-14
US20230411167A1 (en) 2023-12-21

Similar Documents

Publication Publication Date Title
TWI801673B (zh) 用來蝕刻含碳特徵之方法
US8497213B2 (en) Plasma processing method
JP6950096B2 (ja) 半導体装置の製造方法及びプラズマ処理装置
US7494882B2 (en) Manufacturing a semiconductive device using a controlled atomic layer removal process
CN107431011B (zh) 用于原子层蚀刻的方法
US9324572B2 (en) Plasma etching method, method for producing semiconductor device, and plasma etching device
US7807064B2 (en) Halogen-free amorphous carbon mask etch having high selectivity to photoresist
US6555472B2 (en) Method of producing a semiconductor device using feature trimming
Iwase et al. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
TWI822106B (zh) 電漿處理方法及半導體裝置之製造方法
WO1999046810A1 (fr) Procede permettant de traiter la surface d'un echantillon
US6746925B1 (en) High-k dielectric bird's beak optimizations using in-situ O2 plasma oxidation
JP7054759B2 (ja) プラズマ処理方法
WO2021181613A1 (ja) プラズマ処理方法
JP7350983B2 (ja) 半導体装置製造方法およびプラズマ処理方法
JPH11340213A (ja) 試料の表面加工方法
TWI783362B (zh) 電漿處理方法
US8143175B2 (en) Dry etching method
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
KR20150095593A (ko) Ge-함유 반도체 장치에의 컨택 형성
JP4994161B2 (ja) メタルゲートのドライエッチング方法
KR20240106077A (ko) 코발트 박막의 건식 식각방법
KR0172856B1 (ko) 미세패턴 형성방법
Pargon et al. New plasma technologies for atomic scale precision etching
JP2009260092A (ja) 多層レジスト膜のドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230815

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230913

R150 Certificate of patent or registration of utility model

Ref document number: 7350983

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150