JP7035581B2 - Board processing device and board processing method. - Google Patents

Board processing device and board processing method. Download PDF

Info

Publication number
JP7035581B2
JP7035581B2 JP2018019439A JP2018019439A JP7035581B2 JP 7035581 B2 JP7035581 B2 JP 7035581B2 JP 2018019439 A JP2018019439 A JP 2018019439A JP 2018019439 A JP2018019439 A JP 2018019439A JP 7035581 B2 JP7035581 B2 JP 7035581B2
Authority
JP
Japan
Prior art keywords
gas
space
substrate
diffusion
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018019439A
Other languages
Japanese (ja)
Other versions
JP2018170499A (en
Inventor
裕之 小川
智也 大久保
昭貴 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to KR1020180030560A priority Critical patent/KR102096700B1/en
Priority to TW107110416A priority patent/TWI763810B/en
Priority to US15/940,528 priority patent/US10541145B2/en
Priority to CN202010192194.5A priority patent/CN111370285B/en
Priority to CN201810268895.5A priority patent/CN108695133B/en
Publication of JP2018170499A publication Critical patent/JP2018170499A/en
Priority to US16/710,791 priority patent/US10985029B2/en
Priority to KR1020200036070A priority patent/KR102190863B1/en
Application granted granted Critical
Publication of JP7035581B2 publication Critical patent/JP7035581B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

本発明は、処理容器内に載置された基板に対してガスを供給して処理を行う技術に関する。 The present invention relates to a technique for supplying gas to a substrate placed in a processing container for processing.

半導体製造プロセスの一つとして反応ガスをプラズマ化してエッチング、成膜処理などを行うプラズマ処理がある。このようなプラズマ処理装置としては、特許文献1に記載されているように、処理容器内において、処理容器の上部側にて処理ガスを励起してプラズマ化し、イオントラップ部を通過させたラジカルを基板に供給するプラズマ処理装置が知られている。 As one of the semiconductor manufacturing processes, there is plasma processing in which a reaction gas is converted into plasma and etching, film formation processing, and the like are performed. As such a plasma processing apparatus, as described in Patent Document 1, in the processing container, a radical that is excited by the processing gas on the upper side of the processing container to be turned into plasma and passed through an ion trap portion is transferred. A plasma processing device that supplies a substrate is known.

プラズマ処理において、処理容器内で処理ガスを励起するにあたって、例えばアンテナに高周波電力を供給し、処理容器内に誘導電界を発生させ、処理容器内に供給された処理ガスを励起させ、半導体ウエハ(以下「ウエハ」という)に供給する手法がある。しかしながら空間内において処理ガスを励起するための誘導電界が均一ではないため、プラズマの分布についても不均一になりやすい。さらにプラズマの分布は磁場や電界の影響を受けやすく、その密度の調整が難しい問題がある。そのためウエハに供給されるラジカルの面内分布について良好な均一性を得ることが困難であった。近年では、ウエハに形成される回路パターンの微細化に伴い、ウエハの処理の面内均一性についてより一層高い精度が求められており、このため処理モジュールにおいて基板に対する処理の面内分布を調整する技術が求められていた。
特許文献2には、ウエハWの周縁部に付加ガスを供給して、ガスの濃度を調整し、ウエハWの面内均一性を調整する技術が記載されているが、ウエハWの中心側に付加ガスを供給できない問題がある。また処理ガスをプラズマ化して、ウエハに供給する例については考慮されていない。
In plasma processing, when exciting the processing gas in the processing container, for example, high-frequency power is supplied to the antenna, an induced electric field is generated in the processing container, and the processing gas supplied in the processing container is excited to excite the semiconductor wafer (semiconductor wafer). Hereinafter, there is a method of supplying to a "wafer"). However, since the induced electric field for exciting the processing gas in the space is not uniform, the distribution of plasma tends to be non-uniform. Furthermore, the distribution of plasma is easily affected by magnetic fields and electric fields, and there is a problem that it is difficult to adjust its density. Therefore, it is difficult to obtain good uniformity in the in-plane distribution of radicals supplied to the wafer. In recent years, with the miniaturization of circuit patterns formed on wafers, even higher accuracy is required for the in-plane uniformity of wafer processing, and for this reason, the in-plane distribution of processing on the substrate is adjusted in the processing module. Technology was required.
Patent Document 2 describes a technique of supplying an additional gas to the peripheral edge of the wafer W to adjust the concentration of the gas and adjust the in-plane uniformity of the wafer W, but the technique is described on the center side of the wafer W. There is a problem that additional gas cannot be supplied. Further, the example of converting the processing gas into plasma and supplying it to the wafer is not considered.

特開2006-324023号公報Japanese Unexamined Patent Publication No. 2006-324023 特許第5192214号公報Japanese Patent No. 5192214

本発明はこのような事情に基づいてなされたものであり、その目的は、処理容器内に載置された基板にガスを供給するにあたり、ガスの濃度の面内分布を調整することができる技術を提供することにある。 The present invention has been made based on such circumstances, and an object thereof is a technique capable of adjusting the in-plane distribution of gas concentration when supplying gas to a substrate placed in a processing container. Is to provide.

本発明の基板処理装置は、処理容器内の載置台に基板を載置し、ガスを供給して基板を処理する基板処理装置において、
前記載置台に対向して設けられ、基板が配置される処理空間と第1のガスが拡散する拡散空間との間に設けられた仕切り部と、
前記拡散空間に前記第1のガスを供給するための第1のガス供給部と、
前記仕切り部を厚さ方向に貫通して形成され、前記拡散空間に拡散した第1のガスを前記処理空間に吐出させるための複数の第1のガス吐出孔と、
前記仕切り部における前記処理空間側のガス吐出面に開口する複数の第2のガス吐出孔を含み、前記第1のガスとは独立して第2のガスを、当該処理空間において横方向に並んだ複数の領域に各々独立して供給する第2のガス供給部と
前記拡散空間に供給された第1のガスを活性化するためのプラズマ発生部と、
前記第1のガス吐出孔よりも前記拡散空間側に、その内部のガス流路が前記第1のガス吐出孔に連通するように設けられ、活性化された第1のガス中のイオンをトラップするイオントラップ部と、を備え、
前記仕切り部はイオントラップ部の熱が処理空間側に伝わることを抑制する遮熱部材を含むことを特徴とする。
本発明の他の基板処理装置は、処理容器内の載置台に基板を載置し、ガスを供給して基板を処理する基板処理装置において、
前記載置台に対向して設けられ、基板が配置される処理空間と第1のガスが拡散する拡散空間との間に設けられた仕切り部と、
前記拡散空間に前記第1のガスを供給するための第1のガス供給部と、
前記仕切り部を厚さ方向に貫通して形成され、前記拡散空間に拡散した第1のガスを前記処理空間に吐出させるための複数の第1のガス吐出孔と、
前記仕切り部における前記処理空間側のガス吐出面に開口する複数の第2のガス吐出孔を含み、前記第1のガスとは独立して第2のガスを、当該処理空間において横方向に並んだ複数の領域に各々独立して供給する第2のガス供給部と、を備え、
前記拡散空間に供給された第1のガスを活性化するためのプラズマ発生部を備え、
前記第1のガスは、前記基板の表面に形成されたシリコン窒化膜をエッチングするためのエッチングガスであり、
前記第2のガスは、前記処理空間における前記第1のガスの分布を調整するための分布調整用ガスであることを特徴とする。
The substrate processing apparatus of the present invention is a substrate processing apparatus in which a substrate is placed on a mounting table in a processing container and gas is supplied to process the substrate.
A partition portion provided opposite to the above-mentioned stand and provided between the processing space in which the substrate is arranged and the diffusion space in which the first gas diffuses,
A first gas supply unit for supplying the first gas to the diffusion space,
A plurality of first gas discharge holes formed by penetrating the partition portion in the thickness direction and for discharging the first gas diffused in the diffusion space into the processing space.
A plurality of second gas discharge holes opened in the gas discharge surface on the treatment space side in the partition portion are included, and the second gas is arranged laterally in the treatment space independently of the first gas. However, a second gas supply unit that independently supplies multiple regions ,
A plasma generating unit for activating the first gas supplied to the diffusion space, and
A gas flow path inside the diffusion space is provided on the diffusion space side of the first gas discharge hole so as to communicate with the first gas discharge hole, and traps ions in the activated first gas. Equipped with an ion trap section
The partition portion is characterized by including a heat shield member that suppresses the heat of the ion trap portion from being transferred to the processing space side .
The other substrate processing apparatus of the present invention is a substrate processing apparatus in which a substrate is placed on a mounting table in a processing container and gas is supplied to process the substrate.
A partition portion provided opposite to the above-mentioned stand and provided between the processing space in which the substrate is arranged and the diffusion space in which the first gas diffuses,
A first gas supply unit for supplying the first gas to the diffusion space,
A plurality of first gas discharge holes formed by penetrating the partition portion in the thickness direction and for discharging the first gas diffused in the diffusion space into the processing space.
A plurality of second gas discharge holes opened in the gas discharge surface on the treatment space side in the partition portion are included, and the second gas is arranged laterally in the treatment space independently of the first gas. However, it is equipped with a second gas supply unit that supplies each of a plurality of regions independently.
A plasma generating unit for activating the first gas supplied to the diffusion space is provided.
The first gas is an etching gas for etching the silicon nitride film formed on the surface of the substrate.
The second gas is characterized in that it is a distribution adjusting gas for adjusting the distribution of the first gas in the processing space.

本発明の基板処理方法は、上述の基板処理装置を用いた基板処理方法において、
前記拡散空間に供給された前記第1のガスを活性化して前記処理空間に供給し、前記基板の表面に形成されたシリコン窒化膜をエッチングするエッチング工程と、
前記処理空間における前記活性化された前記第1のガスの分布を調整するために、当該処理空間において横方向に並んだ複数の領域に各々第2のガスを供給する分布調整工程と、
前記エッチング工程及び分布調整工程の後に行われ、前記シリコン窒化膜の表面における酸化膜を除去するための酸化膜除去ガスを、前記第1のガス供給部から前記拡散空間を介して前記処理空間に供給するか、前記第2のガス供給部から前記処理空間に供給する工程と、を備えることを特徴とする。
The substrate processing method of the present invention is the substrate processing method using the above-mentioned substrate processing apparatus.
An etching step of activating the first gas supplied to the diffusion space and supplying it to the processing space to etch a silicon nitride film formed on the surface of the substrate.
A distribution adjusting step of supplying a second gas to each of a plurality of laterally arranged regions in the processing space in order to adjust the distribution of the activated first gas in the processing space.
An oxide film removing gas for removing an oxide film on the surface of the silicon nitride film, which is performed after the etching step and the distribution adjusting step, is supplied from the first gas supply unit to the processing space via the diffusion space. It is characterized by comprising a step of supplying or supplying from the second gas supply unit to the processing space.

本発明は、処理容器内に載置された被処理基板にガスを供給する基板処理装置において、処理容器内をガスを拡散させる拡散領域と、基板にガス処理を行う処理領域と仕切り部により区画し、拡散空間に第1のガスを供給している。拡散空間に供給した第1のガスを仕切り部に形成した第1のガス供給孔を介して供給すると共に、仕切り部の下面に設けられた第2のガス供給孔から、第1のガスとは独立して第2のガスを処理空間に供給している。さらに第2のガスを供給するにあたって、基板の中心軸を含む中央領域に第2のガスを供給する中央側ガス供給部と、中央領域を囲む周縁領域から第2のガスを供給する周縁側ガス供給部と、を互いに独立するように設けている。そのため第2のガスを載置台の中心側と、載置台の周縁側とで独立して供給量を変えることができ、基板のガス処理の面内分布を調整することができる。 The present invention is a substrate processing apparatus that supplies gas to a substrate to be processed placed in a processing container, and is partitioned by a diffusion region for diffusing gas in the processing container, a processing region for performing gas treatment on the substrate, and a partition portion. However, the first gas is supplied to the diffusion space. The first gas supplied to the diffusion space is supplied through the first gas supply hole formed in the partition portion, and the first gas is supplied from the second gas supply hole provided on the lower surface of the partition portion. A second gas is independently supplied to the processing space. Further, when supplying the second gas, the central gas supply unit that supplies the second gas to the central region including the central axis of the substrate and the peripheral gas that supplies the second gas from the peripheral region surrounding the central region. The supply unit and the supply unit are provided so as to be independent of each other. Therefore, the supply amount of the second gas can be independently changed between the central side of the mounting table and the peripheral side of the mounting table, and the in-plane distribution of the gas treatment of the substrate can be adjusted.

第1の実施の形態に係るマルチチャンバ―システムの平面図である。It is a top view of the multi-chamber system which concerns on 1st Embodiment. 第1の実施の形態に係るプラズマ処理装置の縦断面図である。It is a vertical sectional view of the plasma processing apparatus which concerns on 1st Embodiment. シャワー板を上方側から見た平面図である。It is a top view of the shower board seen from the upper side. シャワー板を下方から見た平面図であるIt is a plan view which looked at the shower board from the bottom. 前記シャワー板の縦断面図である。It is a vertical sectional view of the shower board. 前記シャワー板の横断面図である。It is a cross-sectional view of the shower plate. 前記シャワー板の断面斜視図である。It is sectional drawing of the shower plate. イオントラップ部の断面図である。It is sectional drawing of the ion trap part. イオントラップ部を示す平面図である。It is a top view which shows the ion trap part. プラズマ処理装置の作用を示す説明図である。It is explanatory drawing which shows the operation of a plasma processing apparatus. プラズマ処理装置の作用を示す説明図である。It is explanatory drawing which shows the operation of a plasma processing apparatus. 本発明の実施の形態の他の例におけるシャワー板の説明図である。It is explanatory drawing of the shower board in another example of embodiment of this invention. 本発明の基板処理が行われるウエハを示す断面図である。It is sectional drawing which shows the wafer which performs the substrate processing of this invention. 本発明の実施の形態の他の例の作用を示す説明図である。It is explanatory drawing which shows the operation of another example of embodiment of this invention. 本発明の実施の形態の他の例の作用を示す説明図である。It is explanatory drawing which shows the operation of another example of embodiment of this invention. エッチング処理後のウエハを示す断面図である。It is sectional drawing which shows the wafer after the etching process. 第2の実施の形態に係るシャワー板の上面側を示す平面図である。It is a top view which shows the upper surface side of the shower plate which concerns on 2nd Embodiment. 第2の実施の形態に係るシャワー板の下面側を示す平面図である。It is a top view which shows the lower surface side of the shower plate which concerns on 2nd Embodiment. 第2の実施の形態に係るシャワー板を示す縦断面図である。It is a vertical sectional view which shows the shower plate which concerns on 2nd Embodiment. 第2の実施の形態に係るシャワー板を示す縦断面図である。It is a vertical sectional view which shows the shower plate which concerns on 2nd Embodiment. 第3の実施の形態に係る基板処理装置を示す縦断面図である。It is a vertical sectional view which shows the substrate processing apparatus which concerns on 3rd Embodiment. 第3の実施の形態に係るシャワーヘッドを示す平面図である。It is a top view which shows the shower head which concerns on 3rd Embodiment. 第3の実施の形態に係るシャワーヘッドを示す平面図である。It is a top view which shows the shower head which concerns on 3rd Embodiment.

[第1の実施の形態]
第1の実施の形態に係る基板処理装置、をプラズマ処理装置に適用した例について説明する。図1は、プラズマ処理装置を備えたマルチチャンバーシステムである真空処理装置を示す。真空処理装置は、その内部雰囲気が乾燥ガス、例えば乾燥した窒素ガスにより常圧雰囲気とされる横長の常圧搬送室12を備え、常圧搬送室12の手前には、搬送容器Cを載置するための3台のロードポート11が並べて設置されている。
[First Embodiment]
An example in which the substrate processing apparatus according to the first embodiment is applied to the plasma processing apparatus will be described. FIG. 1 shows a vacuum processing apparatus, which is a multi-chamber system equipped with a plasma processing apparatus. The vacuum processing apparatus includes a horizontally long normal pressure transport chamber 12 whose internal atmosphere is made to be a normal pressure atmosphere by a dry gas, for example, dry nitrogen gas, and a transport container C is placed in front of the normal pressure transport chamber 12. Three load ports 11 for this purpose are installed side by side.

常圧搬送室12の正面壁には、前記搬送容器Cの蓋と一緒に開閉されるドア17が取り付けられている。常圧搬送室12内には、ウエハWを搬送するための関節アームで構成された搬送機構15が設けられている。常圧搬送室12におけるロードポート11の反対側には、例えば2個のロードロック室13が並べて配置されている。ロードロック室13と常圧搬送室12との間には、ゲートバルブ18が設けられ、ロードロック室13の常圧搬送室12側から見て奥側には、真空搬送室10がゲートバルブ19を介して配置されている。 A door 17 that opens and closes together with the lid of the transport container C is attached to the front wall of the normal pressure transport chamber 12. In the normal pressure transport chamber 12, a transport mechanism 15 composed of joint arms for transporting the wafer W is provided. On the opposite side of the load port 11 in the normal pressure transfer chamber 12, for example, two load lock chambers 13 are arranged side by side. A gate valve 18 is provided between the load lock chamber 13 and the normal pressure transfer chamber 12, and the vacuum transfer chamber 10 is a gate valve 19 on the back side of the load lock chamber 13 when viewed from the normal pressure transfer chamber 12 side. Are arranged via.

真空搬送室10には、例えば成膜処理、PHT(Post Heat Treatment)処理及びプラズマ処理を行うプロセスモジュール1が接続されている。真空搬送室10には、関節アームからなる2本の搬送アームを備えた搬送機構16が設けられており、搬送機構16により、各ロードロック室13及び各プロセスモジュール1の間でウエハWの受け渡しが行われる。また真空処理装置における常圧搬送室12には、ウエハWを冷却するための冷却装置14が接続されている。例えば成膜装置は、例えばウエハWに窒化シリコン(SiN)膜を成膜すると共にPHT装置は、プラズマ処理後のウエハWを加熱してプラズマ処理にて生成する反応生成物を昇華させる。 A process module 1 that performs, for example, a film forming process, a PHT (Post Heat Treatment) process, and a plasma process is connected to the vacuum transfer chamber 10. The vacuum transfer chamber 10 is provided with a transfer mechanism 16 provided with two transfer arms composed of joint arms, and the transfer mechanism 16 transfers the wafer W between each load lock chamber 13 and each process module 1. Is done. Further, a cooling device 14 for cooling the wafer W is connected to the normal pressure transfer chamber 12 in the vacuum processing device. For example, the film forming apparatus forms a silicon nitride (SiN) film on the wafer W, and the PHT apparatus heats the wafer W after the plasma treatment to sublimate the reaction product produced by the plasma treatment.

次いで真空処理装置に設けられるプロセスモジュール1の内、プラズマ処理装置2について図2も参照して説明する。ここでは、例えば三フッ化窒素(NF)、ガス、酸素(O)ガス、及び(H)ガスを励起させ、励起させたラジカルを用い、ウエハWに形成したSiN膜のエッチングを行うプラズマ処理装置を例に説明する。プラズマ処理装置2は、アルミニウムなどの金属製の真空容器で構成された処理容器20を備えている。図2に示すようにプラズマ処理装置は、左右に並べて連結された2個の処理容器20を備え、連結された2個の処理容器20の前後方向一面側に図1に示す真空搬送室10との間でウエハWの搬入出を行うための、2つの処理容器20に共通の搬送口22が形成され、この搬送口22はゲートバルブ21により開閉自在に構成されている。
図2に示すように連結された処理容器20内は、上部側に設けられた隔壁23と、隔壁23の下方に設けられた区画壁24とにより、各処理容器20に区画されている。区画壁24は、例えば昇降機構25により昇降自在に構成され、区画壁24を下降させているときには、2つの処理容器20における載置台3が置かれている処理空間同士が連通し、各処理容器20内にウエハWを搬入することができるが、区画壁24を上昇させることにより、2つの処理空間が互いに区画される。なおプラズマ処理装置2における、2つの処理容器20内は略同様に構成されているため、以下一方の処理容器20について説明する。
Next, among the process modules 1 provided in the vacuum processing apparatus, the plasma processing apparatus 2 will be described with reference to FIG. Here, for example, nitrogen trifluoride (NF 3 ), gas, oxygen (O 2 ) gas, and (H 2 ) gas are excited, and the excited radicals are used to etch the SiN film formed on the wafer W. A plasma processing apparatus will be described as an example. The plasma processing apparatus 2 includes a processing container 20 made of a vacuum container made of a metal such as aluminum. As shown in FIG. 2, the plasma processing apparatus includes two processing containers 20 connected side by side and connected to the vacuum transfer chamber 10 shown in FIG. 1 on one side in the front-rear direction of the two connected processing containers 20. A transport port 22 common to the two processing containers 20 for loading and unloading the wafer W is formed between the transport ports 22, and the transport port 22 is configured to be openable and closable by a gate valve 21.
The inside of the processing containers 20 connected as shown in FIG. 2 is partitioned into each processing container 20 by a partition wall 23 provided on the upper side and a partition wall 24 provided below the partition wall 23. The partition wall 24 is configured to be able to move up and down by, for example, an elevating mechanism 25, and when the partition wall 24 is lowered, the processing spaces in which the mounting tables 3 in the two processing containers 20 are placed communicate with each other, and each processing container is used. The wafer W can be carried into the 20. By raising the partition wall 24, the two processing spaces are partitioned from each other. Since the insides of the two processing containers 20 in the plasma processing apparatus 2 are configured in substantially the same manner, one of the processing containers 20 will be described below.

図1、図2に示すように処理容器2には、ウエハWを水平に保持するための載置台3が配置されている。また載置台3の内部には温調流路33が形成され、温調流路には、例えば水などの温調用の媒体が通流され、後述するラジカル処理において、ウエハWを例えば10~120℃に温度調整する。また載置台3には載置台の表面から突没するように設けられた図示しない昇降ピンが周方向等間隔に3本設けられている。 As shown in FIGS. 1 and 2, a mounting table 3 for horizontally holding the wafer W is arranged in the processing container 2. Further, a temperature control flow path 33 is formed inside the mounting table 3, a medium for temperature control such as water is passed through the temperature control flow path, and the wafer W is, for example, 10 to 120 in the radical treatment described later. Adjust the temperature to ° C. Further, the mounting table 3 is provided with three elevating pins (not shown) provided so as to be recessed from the surface of the mounting table at equal intervals in the circumferential direction.

各処理容器20における天板部分には、例えば石英板などで構成された誘電体窓26が設けられている。各誘電体窓26の上面側には、渦巻き状の平面コイルで構成された高周波アンテナ27が載置されている。コイル状の高周波アンテナ27の端部には、整合器28を介して例えば200~1200Wの高周波を出力する高周波電源29が接続されている。高周波アンテナ27、整合器28を及び高周波電源29は、プラズマ発生部に相当する。
また各処理容器20毎に第1のガスを供給するためのガス供給口34が形成され、ガス供給口34には、ガス供給管35の一端側が接続されている。ガス供給管35の他端側は、3本に分岐し、各端部には、夫々NFガス供給源36、Hガス供給源37及びOガス供給源38が接続されている。なお図2中のV1~V3はバルブであり、M1~M3は流量調整部である。これにより、NFガス、Hガス及びOガスを夫々所定の流量で処理容器20内に供給できるように構成されている。ガス供給口34から供給されるこれらのガスは、第1のガスに相当する。
A dielectric window 26 made of, for example, a quartz plate is provided on the top plate portion of each processing container 20. A high-frequency antenna 27 composed of a spiral flat coil is mounted on the upper surface side of each dielectric window 26. A high frequency power supply 29 that outputs a high frequency of, for example, 200 to 1200 W is connected to the end of the coiled high frequency antenna 27 via a matching device 28. The high-frequency antenna 27, the matching unit 28, and the high-frequency power supply 29 correspond to a plasma generating unit.
Further, a gas supply port 34 for supplying the first gas is formed for each processing container 20, and one end side of the gas supply pipe 35 is connected to the gas supply port 34. The other end side of the gas supply pipe 35 is branched into three, and the NF 3 gas supply source 36, the H 2 gas supply source 37, and the O 2 gas supply source 38 are connected to each end, respectively. Note that V1 to V3 in FIG. 2 are valves, and M1 to M3 are flow rate adjusting units. As a result, the NF 3 gas, the H 2 gas, and the O 2 gas can be supplied into the processing container 20 at predetermined flow rates, respectively. These gases supplied from the gas supply port 34 correspond to the first gas.

処理容器20における載置台3の上方には、処理容器20内をNFガス、Oガス及びHガスを拡散する拡散空間であると共にプラズマを励起するプラズマ空間Pと、載置台3に載置されたウエハWにラジカル処理を行う処理空間Sと、に仕切る仕切り部5が設けられている。 Above the mounting table 3 in the processing container 20, a diffusion space that diffuses NF 3 gas, O 2 gas, and H 2 gas in the processing container 20 and a plasma space P that excites plasma, and a mounting table 3 are placed. The placed wafer W is provided with a processing space S for performing radical treatment and a partition portion 5 for partitioning the placed wafer W.

仕切り部5は、シャワー板4とイオントラップ部51を備え、下方側からこの順で配置されている。シャワー板4及びイオントラップ部51は、互いの熱膨張率の差により擦れてパーティクルが発生するおそれがあるため、例えばスペーサーなどを用いて互いに接触しないように隙間を介して配置される。
シャワー板4について図3~図7も参照して説明する。図3は、各処理容器20に設けられるシャワー板4を上方側から見た図を示し、図4は、一方の処理容器20内におけるシャワー板4を載置台3側から見た平面図を示す。また図5は、シャワー板4の縦断面図、図6は、シャワー板4の横断面を載置台3側から見た断面図であり、図7は、シャワー板4の一部を断面にした斜視図を示す。なお図7においては、フランジ400に形成したガス拡散流路45及びガス導入路403の天井面は、板状の部材より塞がれるが、説明の便宜上ガス拡散流路45及びガス導入路403の天井面を開放するように示している。後述するようにシャワー板4内には、処理空間S側に第2のガスである不活性ガス、例えばアルゴン(Ar)ガスを供給するための流路が形成されているが、図2では、シャワー板4の断面は、作図の困難性から斜線として示しており、後述する内部の流路については、示していない。シャワー板4は例えばアルミ板で構成され、図3に示すように各処理容器20内を仕切るシャワー板4は、互いに接続された1枚の板状体40として構成されている。
The partition portion 5 includes a shower plate 4 and an ion trap portion 51, and is arranged in this order from the lower side. Since the shower plate 4 and the ion trap portion 51 may be rubbed against each other due to the difference in thermal expansion rate to generate particles, for example, spacers or the like are used to arrange the shower plate 4 and the ion trap portion 51 so as not to come into contact with each other.
The shower plate 4 will be described with reference to FIGS. 3 to 7. FIG. 3 shows a view of the shower plate 4 provided in each processing container 20 from above, and FIG. 4 shows a plan view of the shower plate 4 in one of the processing containers 20 as viewed from the mounting table 3 side. .. 5 is a vertical cross-sectional view of the shower plate 4, FIG. 6 is a cross-sectional view of the cross-sectional view of the shower plate 4 as viewed from the mounting table 3, and FIG. 7 is a partial cross-sectional view of the shower plate 4. A perspective view is shown. In FIG. 7, the ceiling surfaces of the gas diffusion flow path 45 and the gas introduction path 403 formed on the flange 400 are blocked by the plate-shaped members, but for convenience of explanation, the gas diffusion flow path 45 and the gas introduction path 403 are It is shown to open the ceiling surface. As will be described later, in the shower plate 4, a flow path for supplying an inert gas, for example, an argon (Ar) gas, which is a second gas, is formed on the treatment space S side. The cross section of the shower plate 4 is shown as a diagonal line due to the difficulty of drawing, and the internal flow path described later is not shown. The shower plate 4 is composed of, for example, an aluminum plate, and as shown in FIG. 3, the shower plate 4 partitioning the inside of each processing container 20 is configured as one plate-shaped body 40 connected to each other.

板状体40におけるシャワー板4の周囲には、フランジ400が形成され、シャワー板4は、処理容器20の周壁内にフランジ400を挿入して固定され、このフランジ400を介して、シャワー板4の熱が処理容器20の内壁を伝わって拡散するように構成されている。またフランジ400の内部に、冷媒流路が形成し、シャワー板4を冷却するように構成してもよい。 A flange 400 is formed around the shower plate 4 in the plate-shaped body 40, and the shower plate 4 is fixed by inserting the flange 400 into the peripheral wall of the processing container 20, and the shower plate 4 is fixed via the flange 400. The heat of the treatment container 20 is configured to be transmitted through the inner wall of the processing container 20 and diffused. Further, a refrigerant flow path may be formed inside the flange 400 to cool the shower plate 4.

図3、図4に示すように処理容器20の並ぶ方向を左右とすると、シャワー板4を前後に分けた2つの半円状の領域に、夫々前後方向に伸び、シャワー板4を厚さ方向に貫通するように形成されたスリット42が左右方向に並べて形成されている。図5に示すようにスリット42は、例えば幅が後述するイオントラップ部51に形成されたスリット42よりも広く構成されると共に、下面側の開口部向かって拡径されるように構成されている。またスリット42の開口部の端部が面取りされており、スリット42を通過するガスのコンダクタンスの低下を抑制するように構成されている。 As shown in FIGS. 3 and 4, when the direction in which the processing containers 20 are arranged is left and right, the shower plate 4 extends in the front-rear direction in each of the two semicircular regions divided into front and rear, and the shower plate 4 is extended in the thickness direction. The slits 42 formed so as to penetrate the are formed side by side in the left-right direction. As shown in FIG. 5, for example, the slit 42 is configured to have a width wider than that of the slit 42 formed in the ion trap portion 51 described later, and is configured to have a diameter expanded toward the opening on the lower surface side. .. Further, the end portion of the opening of the slit 42 is chamfered, and is configured to suppress a decrease in conductance of the gas passing through the slit 42.

また図4、図6に示すようにシャワー板4の内部には、スリット42が形成された半円状の領域の間を左右方向(処理容器20の並ぶ方向)に伸びるようにガス供給路43が形成されている。ガス供給路43におけるシャワー板4の中央寄りの部位は、ガス供給路43から直交する方向(前後方向)に分岐した複数の中央側ガス供給路44がシャワー板4の中央寄りの円形の領域(中央領域)に亘って、各スリット42の隙間に形成されている。また図4、図6及び図7に示すようにガス供給路43におけるシャワー板4の周縁側の端部は、フランジ400内部に形成された中央側ガス導入ポート402に接続されている。中央側ガス導入ポート402には、中央側ガス供給管47を介してArガス供給源48が接続され、中央側ガス供給管47には、上流側から流量調整部M4及びバルブV4が設けられている。また図4、図5及び図7に示すように中央側ガス供給路44には、シャワー板4の載置台3側の面であるガス吐出面に開口する中央側ガス吐出孔41Aが分散して形成されている。このガス供給路43、中央側ガス供給路44、中央側ガス導入路402、中央側ガス供給管47、Arガス供給源48、流量調整部M4、バルブV4及び中央側ガス吐出孔41Aは、中央側ガス供給部に相当する。 Further, as shown in FIGS. 4 and 6, inside the shower plate 4, the gas supply path 43 extends in the left-right direction (direction in which the processing containers 20 are arranged) between the semicircular regions where the slits 42 are formed. Is formed. The portion of the gas supply path 43 near the center of the shower plate 4 is a circular region (a circular region near the center of the shower plate 4) in which a plurality of central gas supply paths 44 branched in a direction orthogonal to the gas supply path 43 (front-back direction) are located. It is formed in the gap of each slit 42 over the central region). Further, as shown in FIGS. 4, 6 and 7, the peripheral end of the shower plate 4 in the gas supply path 43 is connected to the central gas introduction port 402 formed inside the flange 400. An Ar gas supply source 48 is connected to the central gas introduction port 402 via the central gas supply pipe 47, and the central gas supply pipe 47 is provided with a flow rate adjusting unit M4 and a valve V4 from the upstream side. There is. Further, as shown in FIGS. 4, 5 and 7, central gas discharge holes 41A opened in the gas discharge surface, which is the surface of the shower plate 4 on the mounting table 3 side, are dispersed in the central gas supply path 44. It is formed. The gas supply path 43, the central gas supply path 44, the central gas introduction path 402, the central gas supply pipe 47, the Ar gas supply source 48, the flow rate adjusting unit M4, the valve V4, and the central gas discharge hole 41A are in the center. Corresponds to the side gas supply section.

また図4、図6及び図7に示すようにシャワー板4の前後の周囲におけるフランジ400の内部には、当該シャワー板4の周縁に沿って円弧状に伸びるガス拡散流路45が形成されており、シャワー板4における中央領域の周囲の周縁領域の内部には、ガス拡散流路45から分岐し、前後方向に伸びる周縁側ガス供給路46が各スリット42の隙間に形成されている。各ガス拡散流路45には、各々ガス拡散流路45を長さ方向で2等分する位置から、板状体40の周縁側に向かって接続流路404が引き出されて、前後方向に伸びるように形成されている。より具体的に述べると、上記のようにガス拡散流路45は円弧状であるが、接続流路404はこの円弧の法線方向に沿って形成されている。そして、この接続流路404の上流側は屈曲されて、周縁側ガス導入路405を形成している。当該周縁側ガス導入路405は、板状体40の左右の中央部へ向かい、接続流路404の伸長方向とは直交するように伸長しており、当該周縁側ガス導入路405の上流端は、周縁部側ガス導入ポート403に接続されている。
ところで図6において矢印の先の点線の枠内に、接続流路404及びガス拡散流路45を拡大して示している。この図6に示すように接続流路404の幅dは、周縁側ガス導入路405の流路の幅Dよりも細く形成されている(D>d)。例えば周縁側ガス導入路405の流路の幅Dが4~10mmであり、接続流路404の流路の幅dは、2~6mmである。また接続流路404の長さLは、接続流路404の流路の幅dよりも2倍以上の長さ(L≧2d)であり、接続流路404の長さLは、例えば4~12mmに形成されている。
周縁側ガス導入ポート403には、周縁側ガス供給管49を介してArガス供給源48が接続されている。周縁側ガス供給管49には、上流側から流量調整部M5及びバルブV5が設けられている。また図4、図5及び図7に示すように周縁側ガス供給路46には、シャワー板4の載置台3側の面に開口する周縁側ガス吐出孔41Bが分散して形成されている。このガス拡散流路45、周縁側ガス供給路46、周縁側ガス導入ポート403、接続流路404、周縁側ガス導入路405、周縁側ガス供給管49、Arガス供給源48、流量調整部M5、バルブV5及び周縁側ガス吐出孔41Bは、周縁側ガス供給部に相当する。図4中では、中央側ガス吐出孔41Aを黒点で示し、周縁側ガス吐出孔41Bを白点で示している。
Further, as shown in FIGS. 4, 6 and 7, a gas diffusion flow path 45 extending in an arc shape along the peripheral edge of the shower plate 4 is formed inside the flange 400 around the front and rear of the shower plate 4. Inside the peripheral region around the central region of the shower plate 4, a peripheral gas supply path 46 that branches from the gas diffusion flow path 45 and extends in the front-rear direction is formed in the gap between the slits 42. In each gas diffusion flow path 45, a connection flow path 404 is pulled out from a position that divides the gas diffusion flow path 45 into two equal parts in the length direction toward the peripheral edge side of the plate-shaped body 40, and extends in the front-rear direction. It is formed like this. More specifically, as described above, the gas diffusion flow path 45 has an arc shape, and the connection flow path 404 is formed along the normal direction of this arc. The upstream side of the connection flow path 404 is bent to form the peripheral side gas introduction path 405. The peripheral gas introduction path 405 extends toward the left and right central portions of the plate-shaped body 40 so as to be orthogonal to the extension direction of the connection flow path 404, and the upstream end of the peripheral gas introduction path 405 is , Connected to the peripheral gas introduction port 403.
By the way, in FIG. 6, the connection flow path 404 and the gas diffusion flow path 45 are enlarged and shown in the frame of the dotted line at the tip of the arrow. As shown in FIG. 6, the width d of the connecting flow path 404 is formed to be narrower than the width D of the flow path of the peripheral gas introduction path 405 (D> d). For example, the width D of the flow path of the peripheral gas introduction path 405 is 4 to 10 mm, and the width d of the flow path of the connection flow path 404 is 2 to 6 mm. Further, the length L of the connecting flow path 404 is more than twice as long as the width d of the flow path of the connecting flow path 404 (L ≧ 2d), and the length L of the connecting flow path 404 is, for example, 4 to 4. It is formed to 12 mm.
An Ar gas supply source 48 is connected to the peripheral gas introduction port 403 via a peripheral gas supply pipe 49. The peripheral side gas supply pipe 49 is provided with a flow rate adjusting unit M5 and a valve V5 from the upstream side. Further, as shown in FIGS. 4, 5 and 7, the peripheral gas discharge holes 41B opened on the surface of the shower plate 4 on the mounting table 3 side are dispersedly formed in the peripheral gas supply path 46. The gas diffusion flow path 45, the peripheral side gas supply path 46, the peripheral side gas introduction port 403, the connection flow path 404, the peripheral side gas introduction path 405, the peripheral side gas supply pipe 49, the Ar gas supply source 48, and the flow rate adjusting unit M5. , The valve V5 and the peripheral side gas discharge hole 41B correspond to the peripheral side gas supply unit. In FIG. 4, the central side gas discharge hole 41A is indicated by a black dot, and the peripheral side gas discharge hole 41B is indicated by a white dot.

イオントラップ部51は、図8に示すように例えば上下に配置された2枚の石英板51a、51bで構成されている。2枚の石英板51a、51bの間には、周縁部に沿って、例えば石英製のスペーサー52が設けられ、2枚の石英板51a、51bが隙間を介して対向するように配置されている。各石英板51a、51bには、図8、図9に示すように各々厚さ方向に貫通するスリット53、54が左右方向に伸びるように複数形成され、各石英板51a、51bに形成されたスリット53、54は、上方側から見たときに、その位置が互いに重ならないように、互い違いに形成されている。なお図3~図9におけるスリット42、53、54及び中央側ガス吐出孔41A、周縁側ガス吐出孔41Bは、模式的に示したものであり、スリット及び吐出孔の配置間隔や数について正確に記載していない。
なお第1の実施の形態では、シャワー板4及びイオントラップ板51に形成されたスリット42、53、54が第1のガス供給孔に相当する。
As shown in FIG. 8, the ion trap portion 51 is composed of, for example, two quartz plates 51a and 51b arranged one above the other. A spacer 52 made of, for example, quartz is provided between the two quartz plates 51a and 51b along the peripheral edge portion, and the two quartz plates 51a and 51b are arranged so as to face each other with a gap. .. As shown in FIGS. 8 and 9, a plurality of slits 53 and 54 penetrating in the thickness direction are formed on the quartz plates 51a and 51b so as to extend in the left-right direction, and are formed on the quartz plates 51a and 51b. The slits 53 and 54 are formed alternately so that their positions do not overlap each other when viewed from above. The slits 42, 53, 54, the central gas discharge holes 41A, and the peripheral gas discharge holes 41B in FIGS. 3 to 9 are schematically shown, and the intervals and numbers of the slits and the discharge holes are accurately arranged. Not listed.
In the first embodiment, the slits 42, 53, 54 formed in the shower plate 4 and the ion trap plate 51 correspond to the first gas supply holes.

また図2に戻って、処理容器20の底面には、排気口61が開口しており、排気口61には、排気路62が接続されている。この排気路62には例えばペンデュラムバルブからなる圧力調整バルブなどを介して真空ポンプなどの真空排気部6が接続され、処理容器20内が所定の真空圧力まで減圧できるように構成されている。 Further, returning to FIG. 2, an exhaust port 61 is opened at the bottom surface of the processing container 20, and an exhaust passage 62 is connected to the exhaust port 61. A vacuum exhaust unit 6 such as a vacuum pump is connected to the exhaust passage 62 via, for example, a pressure adjusting valve made of a pendulum valve, and the inside of the processing container 20 is configured to be able to reduce the pressure to a predetermined vacuum pressure.

また図1に示すように真空処理装置は制御部9を備えており、この制御部9は、プログラム、メモリ、CPUを備えている。これらのプログラムは、コンピュータ記憶媒体、例えばコンパクトディスク、ハードディスク、光磁気ディスク等に収納され制御部9にインストールされる。プログラムは、ウエハWの搬送、プラズマ処理装置2における各ガスの給断、を含む処理の一連の動作を実施するようにステップ群が組まれている。 Further, as shown in FIG. 1, the vacuum processing apparatus includes a control unit 9, which includes a program, a memory, and a CPU. These programs are stored in a computer storage medium such as a compact disk, a hard disk, a magneto-optical disk, or the like, and installed in the control unit 9. In the program, a group of steps is set up to carry out a series of operations including transfer of the wafer W and supply / disconnection of each gas in the plasma processing apparatus 2.

上述の実施の形態の作用について説明する。例えばウエハWを収納した搬送容器Cが、真空処理装置のロードポート11に搬入されると、ウエハWは、搬送容器Cから取り出され、常圧搬送室12、ロードロック室13を介して、真空搬送室10に搬送される。続いてウエハWは、搬送機構16により成膜装置に搬送され、SiN膜が成膜される。その後ウエハWは、搬送機構16により成膜装置から取り出され、プラズマ処理装置2に搬送される。プラズマ処理装置2においては、例えば各載置台3の昇降ピンと搬送機構16との協働作用によりウエハWが受け渡され、各載置台3に載置される。エッチング対象とするウエハWが搬入された後、搬送装置を真空搬送室に退避させ、ゲートバルブ21を閉じると共に、区画壁24を上昇させ、各処理容器20に区画する。 The operation of the above-described embodiment will be described. For example, when the transfer container C containing the wafer W is carried into the load port 11 of the vacuum processing apparatus, the wafer W is taken out from the transfer container C and vacuumed through the normal pressure transfer chamber 12 and the load lock chamber 13. It is transported to the transport chamber 10. Subsequently, the wafer W is conveyed to the film forming apparatus by the conveying mechanism 16, and a SiN film is formed. After that, the wafer W is taken out from the film forming apparatus by the conveying mechanism 16 and conveyed to the plasma processing apparatus 2. In the plasma processing device 2, for example, the wafer W is delivered by the cooperative action between the elevating pin of each mounting table 3 and the transport mechanism 16, and is mounted on each mounting table 3. After the wafer W to be etched is carried in, the transfer device is retracted into the vacuum transfer chamber, the gate valve 21 is closed, the partition wall 24 is raised, and the wafer W is partitioned into each processing container 20.

続いて各処理容器20内の圧力を例えば13.3~133.3Paに設定し、NFガスを10~500sccm、Oガスを10~1000sccm、Hガスを5~130sccmで夫々の流量で供給する。またArガスを、中央側ガス吐出孔41Aから50~1000sccm、周縁側ガス吐出孔41Bから50~1000sccmの流量でガスを供給する。これにより処理容器20におけるプラズマ空間Pにおいては、イオントラップ部51と誘電体窓26との間にNFガス、Oガス及びHガスが混合されて満たされる。 Subsequently, the pressure in each processing container 20 is set to, for example, 13.3 to 133.3 Pa, NF 3 gas is 10 to 500 sccm, O 2 gas is 10 to 1000 sccm, and H 2 gas is 5 to 130 sccm at each flow rate. Supply. Further, Ar gas is supplied at a flow rate of 50 to 1000 sccm from the central gas discharge hole 41A and 50 to 1000 sccm from the peripheral gas discharge hole 41B. As a result, in the plasma space P in the processing container 20, NF 3 gas, O 2 gas and H 2 gas are mixed and filled between the ion trap portion 51 and the dielectric window 26.

その後高周波電源29から高周波アンテナ27に200~1200Wの高周波電力を印加すると、プラズマ空間Pに誘導電界が生じ、NFガス、Oガス及びHガスが励起される。これにより図10に示すようにプラズマ空間Pには、NFガス、Oガス及びHガスのプラズマ100が生成されるが、誘導電界がドーナツ状に形成されるため、プラズマ空間Pに生成されるプラズマ100の密度分布は、ドーナツ状にプラズマの濃度が高くなった分布となる。 After that, when a high frequency power of 200 to 1200 W is applied from the high frequency power supply 29 to the high frequency antenna 27, an induced electric field is generated in the plasma space P, and the NF 3 gas, the O 2 gas and the H 2 gas are excited. As a result, as shown in FIG. 10, plasma 100 of NF 3 gas, O 2 gas and H 2 gas is generated in the plasma space P, but since the induced electric field is formed in a donut shape, it is generated in the plasma space P. The density distribution of the plasma 100 is a donut-shaped distribution in which the plasma concentration is high.

続いてプラズマ100は、イオントラップ部51のスリット53、54を通過するが、プラズマ100中のイオンは、異方的に移動するため、イオントラップ部51の2つのスリット53、54を通過することができずに捕捉される。またプラズマ中のラジカルは、等方的に移動するため、イオントラップ部51を通過して、シャワー板4側に通過する。そのためプラズマ化したNFガス、Oガス及びHガスがイオントラップ部51を通過することで、例えばF、NF、O及びHなどのラジカルの濃度が高くなる。 Subsequently, the plasma 100 passes through the slits 53 and 54 of the ion trap portion 51, but since the ions in the plasma 100 move anisotropically, the plasma 100 passes through the two slits 53 and 54 of the ion trap portion 51. Can not be captured and is captured. Further, since the radicals in the plasma move isotropically, they pass through the ion trap portion 51 and pass to the shower plate 4 side. Therefore, when the plasma-generated NF 3 gas, O 2 gas, and H 2 gas pass through the ion trap section 51, the concentration of radicals such as F, NF 2 , O, and H increases.

そしてイオントラップ部51を通過したF、NF、O及びHなどのラジカルは、シャワー板4のスリット42を通過して処理空間Sに進入する。プラズマ100は、プラズマ空間Pにおいて、ドーナツ状の濃度分布となる傾向がある。そしてイオントラップ部51及びシャワー板4を通過することにより、ラジカルは、ある程度整流され、密度が均一化されて処理空間S内に侵入しウエハWに供給される。しかしながらイオントラップ部51及びシャワー板4を通過させることで完全に均一化することが難しく、さらに処理空間Sにおける排気により、ラジカルの密度分布は影響されてしまう。 Radicals such as F, NF 2 , O, and H that have passed through the ion trap portion 51 pass through the slit 42 of the shower plate 4 and enter the processing space S. The plasma 100 tends to have a donut-shaped concentration distribution in the plasma space P. Then, by passing through the ion trap portion 51 and the shower plate 4, the radicals are rectified to some extent, the density is made uniform, and the radicals enter the processing space S and are supplied to the wafer W. However, it is difficult to make it completely uniform by passing it through the ion trap portion 51 and the shower plate 4, and further, the density distribution of radicals is affected by the exhaust gas in the processing space S.

そして中央側ガス供給孔41Aから供給するArガスの流量と周縁側ガス吐出孔41Bから供給するArガスの流量とを調整し、処理空間Sにおける中央側の領域と、周縁側の領域と、においてエッチング量を低く抑えたい側の領域に供給するArガスの流量を相対的に多くする。例えば処理空間Sにおける周縁側の領域においてエッチング量を低く抑えたい場合には、Arガスの流量をウエハWの周縁領域側で多くし、ウエハWの中央領域側で少なくする。これにより処理空間Sにおいて、F、NF、O及びHなどのラジカルが、ウエハWの周縁領域側の領域にて中央領域側よりも、Arガスによって希釈される率が高くなるため、ウエハWの中心側におけるラジカルの濃度が相対的に上昇する。これにより図11に示すようにウエハWの中心側におけるラジカルの濃度と、ウエハWの周縁側におけるラジカルの濃度とが揃う。従って処理空間Sにおけるラジカル101が均一になり、ウエハWのエッチングの面内均一性が良好になる。中央側ガス吐出孔41A及び周縁側ガス吐出孔41Bから吐出されるArガスにより、第1のガス供給部から供給されたガスを励起させたF、NF、O及びHなどのラジカルの処理空間S内における分布が調整されることから、第2のガスであるArガスは、第1のガスの分布を調整する分布調整用ガスと言える。 Then, the flow rate of Ar gas supplied from the central gas supply hole 41A and the flow rate of Ar gas supplied from the peripheral gas discharge hole 41B are adjusted, and in the central region and the peripheral region in the processing space S, The flow rate of Ar gas supplied to the region on the side where the etching amount is desired to be kept low is relatively increased. For example, when it is desired to keep the etching amount low in the peripheral region of the processing space S, the flow rate of Ar gas is increased on the peripheral region side of the wafer W and decreased on the central region side of the wafer W. As a result, in the processing space S, radicals such as F, NF 2 , O and H are diluted by Ar gas in the region on the peripheral region side of the wafer W higher than on the central region side, so that the wafer W is diluted. The concentration of radicals on the central side of the is relatively increased. As a result, as shown in FIG. 11, the concentration of radicals on the center side of the wafer W and the concentration of radicals on the peripheral side of the wafer W are aligned. Therefore, the radical 101 in the processing space S becomes uniform, and the in-plane uniformity of etching of the wafer W becomes good. Radical processing space for radicals such as F, NF 2 , O, and H excited by the Ar gas discharged from the central gas discharge hole 41A and the peripheral gas discharge hole 41B to excite the gas supplied from the first gas supply unit. Since the distribution in S is adjusted, it can be said that the Ar gas, which is the second gas, is a distribution adjusting gas that adjusts the distribution of the first gas.

処理空間Sにおいては、F、NF、O及びHなどのラジカルにより、SiN膜がエッチングされる。その後ウエハWは、搬送機構16により、PHT装置に搬送され、加熱処理が行われる。これによりエッチング処理により発生した残渣が昇華されて除去される。続いてウエハWは、真空雰囲気のロードロック室13に搬送され、次いでロードロック室13を大気雰囲気に切り替えた後、ウエハWを搬送機構15により取り出し、冷却装置14にて、ウエハWの温度を調整した後、例えば元の搬送容器Cに戻す。 In the processing space S, the SiN film is etched by radicals such as F, NF 2 , O and H. After that, the wafer W is transferred to the PHT device by the transfer mechanism 16 and heat-treated. As a result, the residue generated by the etching process is sublimated and removed. Subsequently, the wafer W is conveyed to the load lock chamber 13 in a vacuum atmosphere, then the load lock chamber 13 is switched to the atmosphere atmosphere, the wafer W is taken out by the transfer mechanism 15, and the temperature of the wafer W is adjusted by the cooling device 14. After the adjustment, it is returned to the original transport container C, for example.

上述の実施の形態によれば、処理容器20内に載置されたウエハWにガスを供給して処理するプラズマ処理装置において、処理容器20内を仕切り部5により、NFガス、Oガス及びHガスを励起するプラズマ空間Pと、ウエハWにラジカル処理を行う処理空間Sとに区画している。そしてプラズマ空間Pにて励起したNFガス、Oガス及びHガスをイオントラップ部51に形成したスリット53、54及びシャワー板4に形成したスリット42を介してラジカルとして処理空間Sに供給すると共に、シャワー板4の下面からNFガス、Oガス及びHガスと独立して、Arガスを供給するように構成している。さらにArガスを供給するにあたって、載置台3の中央領域側からArガスを供給する中央側ガス供給部と、載置台3の周縁領域側からArガスを供給する周縁側ガス供給部と、を設けている。そのためArガスを載置台3の中心側と、載置台3の周縁側とで独立して供給量を調整することができ、ウエハWに供給されるラジカルの面内分布を調整することができるため、ウエハWのプラズマ処理の面内分布を調整することができる。 According to the above-described embodiment, in the plasma processing apparatus that supplies gas to the wafer W placed in the processing container 20 for processing, the inside of the processing container 20 is divided into NF 3 gas and O 2 gas by the partition portion 5. It is divided into a plasma space P that excites the H 2 gas and a processing space S that performs radical treatment on the wafer W. Then, the NF 3 gas, O 2 gas, and H 2 gas excited in the plasma space P are supplied to the processing space S as radicals through the slits 53 and 54 formed in the ion trap portion 51 and the slit 42 formed in the shower plate 4. At the same time, Ar gas is supplied independently of NF 3 gas, O 2 gas and H 2 gas from the lower surface of the shower plate 4. Further, in supplying Ar gas, a central gas supply unit for supplying Ar gas from the central region side of the mounting table 3 and a peripheral gas supply unit for supplying Ar gas from the peripheral region side of the mounting table 3 are provided. ing. Therefore, the supply amount of Ar gas can be adjusted independently on the central side of the mounting table 3 and the peripheral side of the mounting table 3, and the in-plane distribution of the radicals supplied to the wafer W can be adjusted. , The in-plane distribution of the plasma treatment of the wafer W can be adjusted.

また例えば処理容器20内におけるNFガス、Oガス及びHガスの供給位置などによっては、処理空間Sにおける中央領域側がNFガス、Oガス及びHガスのラジカルの濃度が高くなってしまうことがある。このようなウエハWの中心側のエッチング量を低く抑えたい場合には、中央側ガス供給部から供給するArガスの量が相対的に多くなるように調整することで、ウエハWの中心側のエッチング量をウエハWの周縁側におけるエッチング量に対して相対的に低く抑えることができる。
さらにシャワー板4を板状体40で構成できるため厚さが薄くなり、イオントラップ部51と組み合わせて用いる場合にも、装置の大型化を避けることができる。
Further, for example, depending on the supply positions of NF 3 gas, O 2 gas and H 2 gas in the processing container 20, the concentration of radicals of NF 3 gas, O 2 gas and H 2 gas becomes high on the central region side in the processing space S. It may end up. When it is desired to keep the etching amount on the center side of the wafer W low, the amount of Ar gas supplied from the central gas supply unit can be adjusted to be relatively large on the center side of the wafer W. The etching amount can be suppressed to be relatively low with respect to the etching amount on the peripheral edge side of the wafer W.
Further, since the shower plate 4 can be formed of the plate-shaped body 40, the thickness becomes thin, and even when the shower plate 4 is used in combination with the ion trap portion 51, it is possible to avoid an increase in the size of the device.

更に例えばプラズマ空間P側にNFガスなどのプラズマ化させる処理ガスを供給し、シャワー板4の下面からNHガスなどのプラズマ化させずにウエハWに供給するプラズマ処理装置であってもよい。このような例としては、例えばSiO膜をCOR(chemical Oxide Removal)法により、除去するプラズマ処理装置が挙げられる。このプラズマ処理装置では、エッチャントであるNHFを生成してウエハWの表面に吸着させ、NHFとSiOとを反応させてAFS(フルオロケイ酸アンモニウム)を生成するが、NHガスをプラズマ化するとNHFが生成されない。そのためプラズマ空間PにNFガスを供給してプラズマ化すると共に、NHガスをプラズマ空間Pを通過させずにシャワー板4の下面から供給する。このような例においても中央側ガス吐出孔41Aから供給されるNHガスの供給量と、周縁側ガス吐出孔41Bから供給されるNHガスの供給量を調整することで、NHガスの面内分布を調整し、ウエハWの表面におけるNHFの供給量の面内分布を調整することができるため、同様の効果を得ることができる。 Further, for example, a plasma processing apparatus may be used in which a processing gas such as NF 3 gas that is converted into plasma is supplied to the plasma space P side and is supplied to the wafer W from the lower surface of the shower plate 4 without being converted into plasma such as NH 3 gas. .. Examples of such an example include a plasma processing apparatus that removes a SiO 2 film by a COR (chemical Oxide Removal) method. In this plasma processing apparatus, NH 4 F, which is an etchant, is generated and adsorbed on the surface of the wafer W, and NH 4 F and SiO 2 are reacted to generate AFS (ammonium fluoride), but NH 3 gas is produced. Is converted to plasma, NH 4 F is not generated. Therefore, the NF 3 gas is supplied to the plasma space P to turn it into plasma, and the NH 3 gas is supplied from the lower surface of the shower plate 4 without passing through the plasma space P. Even in such an example, by adjusting the supply amount of NH 3 gas supplied from the central gas discharge hole 41A and the supply amount of NH 3 gas supplied from the peripheral gas discharge hole 41B, the NH 3 gas can be supplied. Since the in-plane distribution can be adjusted and the in-plane distribution of the supply amount of NH 4 F on the surface of the wafer W can be adjusted, the same effect can be obtained.

またプラズマがイオントラップ部51に衝突するとイオントラップ部51が熱を蓄積することがある。イオントラップ部51を通過するラジカルなどは、熱分布により、その分布が偏ることがあり、イオントラップ部51の熱分布により処理空間Sのラジカルの分布が影響を受けることがある。上述の実施の形態では、シャワー板4をアルミ板で構成している。イオントラップ部51の下方にアルミ板などの遮熱部材を設けることにより、イオントラップ部51の熱の処理空間Sへの輻射を遮断することができる。そのため、イオントラップ部51の熱の影響による処理空間Sのラジカル分布の偏りを抑制することができ、処理空間Sにおけるラジカルの濃度分布の精度よく調整することができる。 Further, when the plasma collides with the ion trap portion 51, the ion trap portion 51 may accumulate heat. The distribution of radicals that pass through the ion trap section 51 may be biased due to the heat distribution, and the distribution of radicals in the processing space S may be affected by the heat distribution of the ion trap section 51. In the above-described embodiment, the shower plate 4 is made of an aluminum plate. By providing a heat shield member such as an aluminum plate below the ion trap portion 51, it is possible to block the radiation of the heat of the ion trap portion 51 to the processing space S. Therefore, it is possible to suppress the bias of the radical distribution in the processing space S due to the influence of the heat of the ion trap portion 51, and it is possible to accurately adjust the concentration distribution of the radicals in the processing space S.

さらにフランジ400を設けたシャワー板4を遮熱部材で構成し、フランジ400を処理容器20に接触するように設けることで、シャワー板4の熱が処理容器20を介して拡散するため、遮熱の効果が向上する。さらに第2のガスを供給する中央側ガス供給路44及び周縁側ガス供給路46をシャワー板4の内部に穿設することで、中央側ガス供給路44及び周縁側ガス供給路46にガスを通流させることで、シャワー板4の熱の拡散を促進することができるためより効果が大きくなる。またイオントラップ部51も、プラズマの分布による熱分布が異なり、処理空間S側に輻射する熱の分布も異なってくる。そのためシャワー板4の中心側の内部に穿設した中央側ガス供給路44と、周縁側の内部に穿設した周縁側ガス供給路46と、に各々独立してガスを供給できるように構成することで、イオントラップ部51の熱分布に合わせて、シャワー板4におけるガスを通流させる領域を変更することができるため、よりシャワー板4の熱を効率よく拡散することができる。 Further, the shower plate 4 provided with the flange 400 is composed of a heat shield member, and the flange 400 is provided so as to be in contact with the processing container 20, so that the heat of the shower plate 4 is diffused through the processing container 20, so that the heat shield is provided. The effect of is improved. Further, by drilling the central gas supply path 44 and the peripheral gas supply path 46 for supplying the second gas inside the shower plate 4, gas is supplied to the central gas supply path 44 and the peripheral gas supply path 46. By allowing the shower plate 4 to pass through, the diffusion of heat of the shower plate 4 can be promoted, so that the effect becomes larger. Further, the ion trap portion 51 also has a different heat distribution depending on the plasma distribution, and the heat distribution radiated to the processing space S side also differs. Therefore, the central gas supply path 44 formed inside the center side of the shower plate 4 and the peripheral side gas supply path 46 bored inside the peripheral edge side are configured to be able to independently supply gas. As a result, the region through which the gas flows in the shower plate 4 can be changed according to the heat distribution of the ion trap portion 51, so that the heat of the shower plate 4 can be diffused more efficiently.

ところで図6で説明したように、周縁側ガス導入路405がガス拡散流路45を長さ方向に2等分する位置に接続されているため、ガス拡散流路45の左右方向においてガスの流量を均一性高く分散させることができる。そのようにガス拡散流路45にて分散したガスが、各周縁側ガス供給路46に流入するので、周縁側ガス供給路46の下流側に設けられる各周縁側ガス吐出孔41から、均一性高くガスを吐出することができる。 By the way, as described with reference to FIG. 6, since the peripheral gas introduction path 405 is connected to the position where the gas diffusion flow path 45 is equally divided in the length direction, the gas flow rate in the left-right direction of the gas diffusion flow path 45 Can be dispersed with high uniformity. Since the gas dispersed in the gas diffusion flow path 45 flows into each peripheral side gas supply path 46, uniformity is provided from each peripheral side gas discharge hole 41 provided on the downstream side of the peripheral side gas supply path 46. High gas can be discharged.

ここで、周縁側ガス導入路405においてはガスが、左右方向の一方に向かって流れている。そのため、この周縁側ガス導入路405の下流端を直接ガス拡散流路45の長さ方向の中央部に接続する、即ち既述の接続流路404を介さずにガス拡散流路45にガスを導入する構成とするよりも、当該拡散流路45にガスを供給し、ガス拡散流路45にガスを流通させて円弧の法線方向に整流させた後にガス拡散流路45に導入する図6で説明した構成の方が、ガス拡散流路45の左右方向において、より均一性高くガスを拡散させることができるため、好ましい。 Here, in the peripheral gas introduction path 405, the gas is flowing in one direction in the left-right direction. Therefore, the downstream end of the peripheral gas introduction path 405 is directly connected to the central portion in the length direction of the gas diffusion flow path 45, that is, gas is supplied to the gas diffusion flow path 45 without going through the connection flow path 404 described above. FIG. 6: The configuration described in the above is preferable because the gas can be diffused more uniformly in the left-right direction of the gas diffusion flow path 45.

また接続流路404におけるガスの流れの偏りをなくして、当該ガスの直進性を良好にし、ガス拡散流路45におけるガスの分布の均一性を高くするために、接続流路404の幅dは、周縁側ガス導入路405の幅Dよりも細いことが好ましい。またそのように接続流路404におけるガスの流れの偏りをなくすために接続流路404は、その長さLが幅dに対して、既述したように2倍以上(L≧2d)であることが好ましい。 Further, in order to eliminate the bias of the gas flow in the connecting flow path 404, improve the straightness of the gas, and improve the uniformity of the gas distribution in the gas diffusion flow path 45, the width d of the connecting flow path 404 is set. It is preferable that the width D of the peripheral gas introduction path 405 is narrower than that of the width D. Further, in order to eliminate the bias of the gas flow in the connecting flow path 404, the length L of the connecting flow path 404 is more than twice (L ≧ 2d) as described above with respect to the width d. Is preferable.

また周縁側ガス導入路405における下流側端部を上流側に対して膨らんだ構造とし、接続流路404に流れ込むガスをガス導入路405の下流側端部にて、一旦滞留させた後、接続流路404に流れ込むようにしてもよい。このように構成することで、流速を遅くしたガスを接続流路404に流入させることができるため、接続流路404におけるガスの直進性が良好になる。 Further, the downstream end of the peripheral gas introduction path 405 has a structure that bulges toward the upstream side, and the gas flowing into the connection flow path 404 is temporarily retained at the downstream end of the gas introduction path 405 and then connected. It may flow into the flow path 404. With this configuration, the gas having a slower flow velocity can flow into the connection flow path 404, so that the straightness of the gas in the connection flow path 404 is improved.

また本発明は、第2のガス供給部をなす中央側ガス吐出口41A及び周縁側ガス吐出口41Bから供給するガスを複数種のガスの間で切り替えられるように構成してもよい。例えば図12に示すように第2のガス供給部を構成する中心側ガス導入ポート402及び周縁側ガス導入ポート403へ、Arガスと、酸化膜除去用のガスであるフッ化水素(HF)ガスとを各々独立して供給できる構成とする。このようにArガス及びHFガスを供給可能な装置を、基板処理装置1Aとする。各ポート402、403へのArガス及びHFガスの供給が可能なことを除き、この基板処理装置1Aは、プラズマ処理装置2と同様の構成である。なお図12中の480はHFガス供給源である。またV7、V8はバルブであり、M7、M8は流量調整部である。 Further, the present invention may be configured so that the gas supplied from the central gas discharge port 41A and the peripheral side gas discharge port 41B forming the second gas supply unit can be switched between a plurality of types of gases. For example, as shown in FIG. 12, Ar gas and hydrogen fluoride (HF) gas, which is a gas for removing the oxide film, are sent to the central gas introduction port 402 and the peripheral gas introduction port 403 constituting the second gas supply unit. And are configured to be able to be supplied independently. The device capable of supplying Ar gas and HF gas in this way is referred to as a substrate processing device 1A. The substrate processing device 1A has the same configuration as the plasma processing device 2 except that Ar gas and HF gas can be supplied to the ports 402 and 403. Note that 480 in FIG. 12 is an HF gas supply source. Further, V7 and V8 are valves, and M7 and M8 are flow rate adjusting units.

図13は、基板処理装置1Aで処理される被処理基板であるウエハWを示す。このウエハWは、例えば3D NAND構造を備えたデバイスを形成する際に用いられ、シリコン窒化膜(SiN膜)200と、シリコン酸化膜(SiO膜)201と、が交互に各々複数層積層されており、これらの膜を貫通するようにメモリーホール202が形成されている。基板処理装置1Aの処理前において、メモリーホール202の側壁をなすSiN膜200の表面には薄く自然酸化膜203が形成されている。この基板処理装置1Aの処理の概略を説明しておくと、上記の自然酸化膜203の除去後にメモリーホール202の側壁をなすSiN膜200の表層をエッチングする。しかし、このエッチング処理後にSiN膜200の表面に酸化膜が形成されていることがある。そのように酸化膜が形成されていると、後工程でメモリーホール202内への膜の埋め込みが正常に行われないおそれが有る。そこで、この基板処理装置1Aはエッチング後に酸化膜を除去し、上記の膜の正常な埋め込みが阻害されることを防ぐ。 FIG. 13 shows a wafer W, which is a substrate to be processed, which is processed by the substrate processing apparatus 1A. This wafer W is used, for example, when forming a device having a 3D NAND structure, and a silicon nitride film (SiN film) 200 and a silicon oxide film (SiO 2 film) 201 are alternately laminated in a plurality of layers. The memory hole 202 is formed so as to penetrate through these films. Before the processing of the substrate processing apparatus 1A, a thin natural oxide film 203 is formed on the surface of the SiN film 200 forming the side wall of the memory hole 202. To explain the outline of the processing of the substrate processing apparatus 1A, the surface layer of the SiN film 200 forming the side wall of the memory hole 202 is etched after the removal of the natural oxide film 203. However, an oxide film may be formed on the surface of the SiN film 200 after this etching process. If the oxide film is formed in this way, the film may not be normally embedded in the memory hole 202 in a later process. Therefore, this substrate processing apparatus 1A removes the oxide film after etching to prevent the normal embedding of the film from being hindered.

この基板処理装置1Aを用いた基板処理の一例についてより詳しく説明する。先ず図13に示すウエハWが基板処理装置1A内に載置されると、メモリーホール202の側面の自然酸化膜203の除去処理を行う。この場合には、処理容器2内を真空排気し、高周波電源29をオフにした状態で、図14に示すようにシャワー板4に形成された中央側ガス吐出孔41A、周縁側ガス吐出孔41Bから処理空間SにHFガスを供給する。なお図14、図15においては、開かれているバルブを白抜きで示し、閉じられているバルブを黒塗りで示している。このとき各中央側ガス吐出孔41Aにガスを導入する中央側ガス導入ポート402に供給されるHFガスの流量と、周縁側ガス吐出孔41Bにガスを導入する2つの周縁側ガス導入ポート403に供給されるHFガスの流量とは、例えば互いに同じでよい。上記のように処理空間Sに供給されたHFガスの作用により、メモリーホール202の内面に形成された自然酸化膜203が除去される。 An example of substrate processing using this substrate processing apparatus 1A will be described in more detail. First, when the wafer W shown in FIG. 13 is placed in the substrate processing apparatus 1A, the natural oxide film 203 on the side surface of the memory hole 202 is removed. In this case, the central side gas discharge hole 41A and the peripheral side gas discharge hole 41B formed in the shower plate 4 are formed in the shower plate 4 with the inside of the processing container 2 vacuum exhausted and the high frequency power supply 29 turned off. HF gas is supplied to the processing space S from. In FIGS. 14 and 15, open valves are shown in white, and closed valves are shown in black. At this time, the flow rate of the HF gas supplied to the central gas introduction port 402 for introducing gas into each central gas discharge hole 41A and the two peripheral gas introduction ports 403 for introducing gas into the peripheral gas discharge hole 41B. The flow rates of the supplied HF gas may be, for example, the same as each other. By the action of the HF gas supplied to the processing space S as described above, the natural oxide film 203 formed on the inner surface of the memory hole 202 is removed.

続いて図15に示すようにHガス供給源37からプラズマ空間PにSiN膜204を改質するための改質ガスであるHガスを供給すると共に処理空間SへHFガスの供給を停止する。さらに高周波電源29をオンとして、プラズマを励起する。これによりプラズマ空間PにてHガスが活性化し、HラジカルがウエハWに供給される。このHラジカルの作用によりSiN膜200におけるSiNの結合が切り離されて、SiN膜200がエッチングされやすくなる(SiN膜200が改質される)。 Subsequently, as shown in FIG. 15, the H 2 gas, which is a reforming gas for reforming the SiN film 204, is supplied from the H 2 gas supply source 37 to the plasma space P, and the supply of the HF gas to the processing space S is stopped. do. Further, the high frequency power supply 29 is turned on to excite the plasma. As a result, H 2 gas is activated in the plasma space P, and H radicals are supplied to the wafer W. By the action of this H radical, the bond of SiN in the SiN film 200 is broken, and the SiN film 200 is easily etched (the SiN film 200 is modified).

その後プラズマ処理装置2の処理として図10、11で説明したようにSiN膜200のエッチング処理を行う。これにより各々のメモリーホール202の側壁を形成するSiN膜200が、ウエハWの面内で高い均一性をもってエッチングされる。
そしてメモリーホール202内に露出しているSiN膜200が数nmの厚さでエッチングされると、エッチングが終了する。このSiN膜200のエッチングは、各メモリーホール202に埋め込む膜の埋め込み性を良好にするために行われる。またエッチング終了時におけるメモリーホール202の側壁をなすSiN膜200の表面には、例えばエッチングで使用したOガスの作用により図16に示すように酸化膜204が形成されている。
After that, as a process of the plasma processing device 2, an etching process of the SiN film 200 is performed as described with reference to FIGS. 10 and 11. As a result, the SiN film 200 forming the side wall of each memory hole 202 is etched with high uniformity in the plane of the wafer W.
When the SiN film 200 exposed in the memory hole 202 is etched to a thickness of several nm, the etching is completed. The etching of the SiN film 200 is performed in order to improve the embedding property of the film to be embedded in each memory hole 202. Further, on the surface of the SiN film 200 forming the side wall of the memory hole 202 at the end of etching, an oxide film 204 is formed as shown in FIG. 16 by the action of the O 2 gas used in the etching, for example.

そのため後処理として、自然酸化膜203の除去処理工程と同様に図14に示すようにプラズマ空間Pへの各ガスの供給を停止すると共に、高周波電源29をオフとした状態で、シャワー板4のガス吐出孔41A、41BからHFガスを供給する。これによりSiN膜200の表面に成膜された酸化膜204を除去することができる。 Therefore, as a post-treatment, as shown in FIG. 14, the supply of each gas to the plasma space P is stopped and the high-frequency power supply 29 is turned off as in the step of removing the natural oxide film 203. HF gas is supplied from the gas discharge holes 41A and 41B. As a result, the oxide film 204 formed on the surface of the SiN film 200 can be removed.

酸化膜204の除去後は、例えば既述の実施の形態で説明したように、ウエハWの加熱処理を行いウエハWに付着している残渣を除去する。なおウエハWの加熱処理は、既述のようにPHT装置に搬送して行ってもよいし、基板処理装置1Aの載置台3に加熱部を設けて基板処理装置1Aにて行ってもよい。
この基板処理装置1AによればウエハWの面内におけるSiN膜200を高い均一性をもってエッチングすることができる。また、エッチング後においてSiN膜200表面の酸化膜204が除去されるので、メモリーホール202への膜の埋め込みを阻害することを防ぐことができる。
After removing the oxide film 204, for example, as described in the above-described embodiment, the wafer W is heat-treated to remove the residue adhering to the wafer W. The heat treatment of the wafer W may be carried out by transporting the wafer W to the PHT device as described above, or by providing a heating unit on the mounting table 3 of the substrate processing device 1A and performing the heat treatment by the substrate processing device 1A.
According to this substrate processing apparatus 1A, the SiN film 200 in the plane of the wafer W can be etched with high uniformity. Further, since the oxide film 204 on the surface of the SiN film 200 is removed after etching, it is possible to prevent the film from being embedded in the memory hole 202.

さらにこの基板処理装置1Aによれば、自然酸化膜203の除去処理、SiNの結合を切ってエッチングしやすくする前処理及びエッチング処理後の酸化膜204の除去処理の一連の基板処理を同一の処理容器20内で行うことができる。従って、上記の一連の基板処理を行うにあたり、複数の処理容器20間でウエハWの搬送を行う必要が無いので、スループットの向上を図ることができる。なお、自然酸化膜203の除去処理及びエッチングのみを基板処理装置1Aで行ってもよいし、エッチング処理及び酸化膜204の除去処理のみを基板処理装置1Aで行ってもよい。 Further, according to the substrate processing apparatus 1A, a series of substrate treatments of removal treatment of the natural oxide film 203, pretreatment for breaking SiN bonds to facilitate etching, and removal treatment of the oxide film 204 after the etching treatment are performed in the same manner. It can be done in the container 20. Therefore, in performing the above-mentioned series of substrate processing, it is not necessary to transfer the wafer W between the plurality of processing containers 20, so that the throughput can be improved. In addition, only the removal process and etching of the natural oxide film 203 may be performed by the substrate processing apparatus 1A, or only the etching process and the removal process of the oxide film 204 may be performed by the substrate processing apparatus 1A.

またエッチング処理の前処理の自然酸化膜203の除去処理や、エッチング処理の後処理の酸化膜204の除去処理は、HFガスと共にNHガスを供給するように構成してもよい。さらにガス供給口34及びガス供給口34にガスを供給するためのガス供給管35、各バルブV1~V3、流量調整部M1~M3及び各ガス供給源36~38は、第1のガス供給部をなし、中央側ガス吐出口41A及び周縁側ガス吐出口41B及びこれらの中央側ガス吐出口41A及び周縁側ガス吐出口41Bにガスを供給するための各バルブV4、V5、流量調整部M4、M5及びArガス供給源48が第2のガス供給部をなすが、HFガス及びNHガスは、第1のガス供給部及び第2のガス供給部のいずれかから供給してもよい。また改質ガスは、NHまたはHOであってもよい。 Further, the removal treatment of the natural oxide film 203 in the pretreatment of the etching treatment and the removal treatment of the oxide film 204 in the post-treatment of the etching treatment may be configured to supply NH3 gas together with the HF gas. Further, the gas supply pipe 35 for supplying gas to the gas supply port 34 and the gas supply port 34, the valves V1 to V3, the flow rate adjusting units M1 to M3, and the gas supply sources 36 to 38 are the first gas supply units. Valves V4, V5, flow rate adjusting unit M4, for supplying gas to the central gas discharge port 41A and the peripheral side gas discharge port 41B, and these central side gas discharge port 41A and the peripheral side gas discharge port 41B. The M5 and the Ar gas supply source 48 form the second gas supply unit, but the HF gas and the NH3 gas may be supplied from either the first gas supply unit or the second gas supply unit. The reformed gas may be NH 3 or H 2 O.

[第2の実施の形態]
第2の実施の形態に係る基板処理装置について説明する。この基板処理装置は、図2に示したプラズマ処理装置2と仕切り部5の一部を構成するシャワー板8の構成が異なることを除いて同様に構成されている。第2の実施の形態に係る基板処理装置シャワー板8について図17~図20を参照して説明する。なお記載が繁雑になることを避けるため、シャワー板8を貫通するスリット42を黒線で示している。図17、図18は夫々上面側及び下面側から見たシャワー板8の平面図を示す。また図19、図20は夫々図17、図18中に示したI線及びII線におけるシャワー板8の縦断面図である。
[Second Embodiment]
The substrate processing apparatus according to the second embodiment will be described. This substrate processing apparatus is similarly configured except that the plasma processing apparatus 2 shown in FIG. 2 and the shower plate 8 constituting a part of the partition portion 5 are different in configuration. The substrate processing apparatus shower plate 8 according to the second embodiment will be described with reference to FIGS. 17 to 20. The slit 42 penetrating the shower plate 8 is shown by a black line in order to avoid cluttering the description. 17 and 18 show plan views of the shower plate 8 as viewed from the upper surface side and the lower surface side, respectively. 19 and 20 are vertical cross-sectional views of the shower plate 8 on lines I and II shown in FIGS. 17 and 18, respectively.

図17及び図19、図20に示すようにシャワー板8の上面側(プラズマ空間P側)における、シャワー板8の前方及び後方におけるフランジ400の内部には、各々シャワー板8の下面周縁側から吐出するArガスを左右方向に拡散する周縁側ガス拡散流路91が形成されている。また図18及び図19、図20に示すようにシャワー板8の下面側におけるシャワー板8の前方及び後方におけるフランジ400の内部には、各々シャワー板8の下面中心部側から吐出するArガスを左右方向に拡散する中央側ガス拡散流路92が形成されている。またシャワー板8の内部には、シャワー板8を前方側から後方側まで貫通し、フランジ400内における中央側ガス拡散流路92の形成された高さ位置よりも上方であって、周縁側ガス拡散流路91の下方に各端部が位置するように形成されたガス流路93が左右方向に並べて形成されている。なお図17、18では周縁側ガス拡散流路91の天井面及び中央側ガス拡散流路92の下面を開放されるように示しているが、図19、20に示すように周縁側ガス拡散流路91の天井面及び中央側ガス拡散流路92の下面はいずれも板状部材により塞がれている。 As shown in FIGS. 17 and 19, the inside of the flange 400 in front of and behind the shower plate 8 on the upper surface side (plasma space P side) of the shower plate 8 is from the lower peripheral peripheral side of the shower plate 8, respectively. A peripheral gas diffusion flow path 91 that diffuses the discharged Ar gas in the left-right direction is formed. Further, as shown in FIGS. 18, 19, and 20, Ar gas discharged from the center portion of the lower surface of the shower plate 8 is charged inside the flange 400 in front of and behind the shower plate 8 on the lower surface side of the shower plate 8, respectively. A central gas diffusion flow path 92 that diffuses in the left-right direction is formed. Further, inside the shower plate 8, the shower plate 8 penetrates from the front side to the rear side, and is above the height position where the central side gas diffusion flow path 92 is formed in the flange 400, and the peripheral side gas. Gas flow paths 93 formed so that each end is located below the diffusion flow path 91 are formed side by side in the left-right direction. In FIGS. 17 and 18, the ceiling surface of the peripheral gas diffusion channel 91 and the lower surface of the central gas diffusion channel 92 are shown to be open, but as shown in FIGS. 19 and 20, the peripheral gas diffusion flow flows. Both the ceiling surface of the road 91 and the lower surface of the gas diffusion flow path 92 on the central side are closed by a plate-shaped member.

左右に並ぶガス流路93の内の内寄りの流路(中央領域を横断するガス流路93)においては、その前後の端部の上面側に連通路96が穿設され周縁側ガス拡散流路91に接続されるガス流路93aと、その前後の端部の下面側に連通路97が穿設され中央側ガス拡散流路92に接続されるガス流路93bと、が交互に配列されている。またガス流路93の内の外寄りの流路(中央領域を横断しないガス流路93)はすべてその前後の端部の上面側に連通路96が穿設され、周縁側ガス拡散流路91に接続されたガス流路93aのみとなっている。
さらに図18、図19に示すように周縁側ガス拡散流路91に接続されたガス流路93aには、シャワー板8の下面の周縁側の領域に吐出孔95が形成されている。また図18、図20に示すように中央側ガス拡散流路92に接続されるガス流路93bにおいては、シャワー板8の下面の中央領域に吐出孔94が複数形成されている。
In the inward flow path (gas flow path 93 crossing the central region) among the gas flow paths 93 arranged side by side, a communication passage 96 is provided on the upper surface side of the front and rear ends thereof, and the peripheral side gas diffusion flow flows. The gas flow path 93a connected to the road 91 and the gas flow path 93b having a communication passage 97 formed on the lower surface side of the front and rear ends thereof and connected to the central gas diffusion flow path 92 are alternately arranged. ing. Further, all of the outer flow paths (gas flow paths 93 that do not cross the central region) in the gas flow path 93 are provided with communication passages 96 on the upper surface side of the front and rear ends thereof, and the peripheral side gas diffusion flow path 91. It is only the gas flow path 93a connected to.
Further, as shown in FIGS. 18 and 19, in the gas flow path 93a connected to the peripheral side gas diffusion flow path 91, a discharge hole 95 is formed in the peripheral edge side region of the lower surface of the shower plate 8. Further, as shown in FIGS. 18 and 20, in the gas flow path 93b connected to the central gas diffusion flow path 92, a plurality of discharge holes 94 are formed in the central region of the lower surface of the shower plate 8.

そして各周縁側ガス拡散流路91は、図6に示したシャワー板4における周縁側ガス拡散流路45と同様に接続流路404及び周縁側ガス導入路405を介して、周縁側ガス供給ポート403に接続されている。さらに周縁側ガス供給ポート403には、例えば図6に示した周縁側ガス供給管49が接続され、Arガスを周縁側ガス拡散流路91を介してガス流路93aに供給するように構成されている。また各中央側ガス拡散流路92も接続流路406、中央側ガス導入路407を介して中央側ガス導入ポート402に接続されている。接続流路406は、接続流路404と同様に、中央側ガス導入路407及び中央側ガス拡散流路92と直交するように設けられると共に、接続流路406の流路の幅は、中央側ガス導入路407の流路の幅よりも狭く、接続流路406の長さは、接続流路406の流路の幅の2倍以上の長さである。 Each of the peripheral side gas diffusion flow paths 91 is connected to the peripheral side gas diffusion flow path 404 and the peripheral side gas introduction path 405 in the same manner as the peripheral side gas diffusion flow path 45 in the shower plate 4 shown in FIG. It is connected to 403. Further, for example, the peripheral gas supply pipe 49 shown in FIG. 6 is connected to the peripheral gas supply port 403, and is configured to supply Ar gas to the gas flow path 93a via the peripheral gas diffusion flow path 91. ing. Further, each central gas diffusion flow path 92 is also connected to the central gas introduction port 402 via the connection flow path 406 and the central gas introduction path 407. Like the connection flow path 404, the connection flow path 406 is provided so as to be orthogonal to the central side gas introduction path 407 and the central side gas diffusion flow path 92, and the width of the flow path of the connection flow path 406 is on the central side. It is narrower than the width of the flow path of the gas introduction path 407, and the length of the connection flow path 406 is at least twice the width of the flow path of the connection flow path 406.

中央側ガス導入ポート402には、例えば図6に示した中央側ガス供給管47が接続され、Arガスを中央側ガス拡散流路92を介してガス流路93bに供給するように構成されている。更にシャワー板8における隣り合うガス流路93(93a、93b)の隙間には、プラズマ空間P側で励起された第1のガス、例えばラジカルを処理空間S側に供給するためのスリット42が形成されている For example, the central gas supply pipe 47 shown in FIG. 6 is connected to the central gas introduction port 402, and is configured to supply Ar gas to the gas flow path 93b via the central gas diffusion flow path 92. There is. Further, in the gap between the adjacent gas flow paths 93 (93a, 93b) in the shower plate 8, a slit 42 for supplying the first gas excited on the plasma space P side, for example, a radical to the processing space S side is formed. Have been

このようなシャワー板8においては、第1の実施の形態で示したシャワー板4と同様に周縁側ガス供給管49から供給されるガスが周縁側ガス拡散流路91によりガス流路93aの配列方向で流量を均一になるように拡散した後、各ガス流路93aに供給される。さらに中央側ガス供給管47から供給するガスが、中央側ガス拡散流路92にてガス流路93bの配列で流量を均一になるように拡散した後、各ガス流路93bに供給される。そのためシャワー板8の周縁領域に供給されるガスのみならず、中央領域に供給するガスの流量がガス流路93bの配列方向(左右方向)で均一になる。
従って、シャワー板8の中央領域側から供給する第2のガスと、周縁側から供給する第2のガスと、を各々均一に吐出することができる。そのためウエハWの中心側及び周縁側に供給される第2のガスの面内分布を各々均一にすることができ、ウエハWに供給する第2のガスの面内均一性を調整するにあたって、より精度よく調整することができる。
In such a shower plate 8, the gas supplied from the peripheral side gas supply pipe 49 is arranged in the gas flow path 93a by the peripheral side gas diffusion flow path 91 as in the shower plate 4 shown in the first embodiment. After diffusing so that the flow rate becomes uniform in the direction, the gas is supplied to each gas flow path 93a. Further, the gas supplied from the central gas supply pipe 47 is diffused in the central gas diffusion flow path 92 so that the flow rate is uniform in the arrangement of the gas flow paths 93b, and then is supplied to each gas flow path 93b. Therefore, the flow rate of not only the gas supplied to the peripheral region of the shower plate 8 but also the gas supplied to the central region becomes uniform in the arrangement direction (left-right direction) of the gas flow path 93b.
Therefore, the second gas supplied from the central region side of the shower plate 8 and the second gas supplied from the peripheral side can be uniformly discharged. Therefore, the in-plane distribution of the second gas supplied to the center side and the peripheral side of the wafer W can be made uniform, and the in-plane uniformity of the second gas supplied to the wafer W can be adjusted more. It can be adjusted accurately.

[第3の実施の形態]
また本発明は、ガスをプラズマ化するプラズマ空間に代えて、ガスをプレミックスする拡散空間を備えた基板処理装置でも良い。例えばNFガス、Arガス、Oガス、Hガスなどのガスをプレミックスして処理空間に供給すると共に、処理空間に直接、例えばHFガスやNHガスなどのポストミックス用のガスを供給して処理を行う基板処理装置について説明する。ウエハWにガス処理を行うガス処理部は、既述のプラズマ処理装置の処理容器20と同様に2つを連結した構成であってもよいが、ここでは1つの処理容器210を備えた例について説明する。図21に示すように、円筒形の処理容器210と、処理容器210の天板部分にシャワーヘッド7を設けて構成されている。なお図中の21、22は、ゲートバルブ及び搬送口、61、62及び6は、プラズマ処理装置2と同様に構成された排気口、排気管及び真空排気部である。さらに処理容器内には、プラズマ処理装置2と同様に載置台3が設けられている。
[Third Embodiment]
Further, the present invention may be a substrate processing apparatus provided with a diffusion space for premixing gas instead of the plasma space for converting gas into plasma. For example, a gas such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas is premixed and supplied to the processing space, and a gas for post-mixing such as HF gas and NH 3 gas is directly supplied to the processing space. A substrate processing apparatus that supplies and processes is described. The gas processing unit that performs gas treatment on the wafer W may have a configuration in which two are connected in the same manner as the processing container 20 of the plasma processing device described above, but here, an example including one processing container 210 is provided. explain. As shown in FIG. 21, the cylindrical processing container 210 and the shower head 7 are provided on the top plate portion of the processing container 210. In the figure, 21 and 22 are a gate valve and a transport port, and 61, 62 and 6 are an exhaust port, an exhaust pipe and a vacuum exhaust unit configured in the same manner as the plasma processing device 2. Further, a mounting table 3 is provided in the processing container as in the plasma processing device 2.

シャワーヘッド7の構成について図21~図23を参照して説明する。シャワーヘッド7は、第1のガスを拡散させる拡散空間Dを構成する拡散部材71と、処理空間Sにガスを噴出するシャワー部材72とを備え、図21に示すように載置台3側からシャワー部材72と、拡散部材71とをこの順に重ねて形成されている。拡散部材71の底板71a及びシャワー部材72は、ウエハWの処理を行う処理空間Sと、ガスを拡散する拡散空間Dとに区画する仕切り部に相当する。なお図21~図23は、模式的に示したものであり、吐出孔の配置や数について正確に記載していない。 The configuration of the shower head 7 will be described with reference to FIGS. 21 to 23. The shower head 7 includes a diffusion member 71 constituting a diffusion space D for diffusing the first gas, and a shower member 72 for ejecting gas into the processing space S, and showers from the mounting table 3 side as shown in FIG. 21. The member 72 and the diffusion member 71 are formed by stacking them in this order. The bottom plate 71a and the shower member 72 of the diffusion member 71 correspond to a partition portion that divides the processing space S for processing the wafer W and the diffusion space D for diffusing the gas. It should be noted that FIGS. 21 to 23 are schematically shown, and do not accurately describe the arrangement and number of discharge holes.

図21、図22に示すように拡散部材71は内部にガスを拡散する拡散室が形成された扁平な円筒形状に構成されている。拡散部材71の天板には、例えばNFガス、Arガス、Oガス、Hガスなどの第1のガスを拡散部材71内に供給する第1ガス供給管73の下流側端部が接続され、拡散部材71の底板71aには、拡散部材71内で拡散したガスを吐出する孔部74が底板を貫通するように設けられている。第1ガス供給管73の上流側には、NFガス、Arガス、Oガス、Hガスなどのガスを混合して第1ガス供給管73に供給する第1ガス供給源85が接続されている。なお図21中のV6、M6は夫々バルブ及び流量調整部である。この例では拡散部材71内に第1のガスを一か所から供給するように構成しているが、例えば複数のガスを各々個別に設けたガス導入部から拡散空間Dに導入するようにしてもよい。そして複数種のガスを拡散空間Dにて混合するようにしてもよい。
また図21、図22に示すように拡散部材71の内部には、拡散部材71を平面で見て、中心寄りの位置に、中央側ガス供給管75が設けられ拡散部材71の天板に接続された、第2ガス供給管76を介して供給される、例えばHFガスやNHガスなどのポストミックス用の第2のガスを拡散室に拡散させずに、後述するシャワー部材72の中央側の領域に供給するように構成されている。また拡散部材71の内部における周縁寄りの位置には、周縁側ガス供給管77が設けられ、天板に接続された第2ガス供給管78を介して供給される第2のガスを拡散室に拡散させずに、後述するシャワー部材72の周縁側の領域に供給するように構成されている。なお図中の86は、HFガスやNHガスなどのポストミックス用の第2のガス供給源であり、図21中のV4、V5は夫々第2ガス供給管76、78に設けられたバルブ、M4、M5は夫々第2ガス供給管76、78に設けられた流量調整部である。
As shown in FIGS. 21 and 22, the diffusion member 71 is configured in a flat cylindrical shape in which a diffusion chamber for diffusing gas is formed inside. On the top plate of the diffusion member 71, a downstream end portion of a first gas supply pipe 73 that supplies a first gas such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas into the diffusion member 71 is provided. The bottom plate 71a of the diffusing member 71 is provided with a hole 74 for discharging the gas diffused in the diffusing member 71 so as to penetrate the bottom plate. A first gas supply source 85 that mixes gases such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas and supplies them to the first gas supply pipe 73 is connected to the upstream side of the first gas supply pipe 73. Has been done. Note that V6 and M6 in FIG. 21 are valves and flow rate adjusting units, respectively. In this example, the first gas is supplied into the diffusion member 71 from one place, but for example, a plurality of gases are individually introduced into the diffusion space D from the gas introduction section. May be good. Then, a plurality of kinds of gases may be mixed in the diffusion space D.
Further, as shown in FIGS. 21 and 22, a central gas supply pipe 75 is provided inside the diffusion member 71 at a position closer to the center when the diffusion member 71 is viewed in a plane and is connected to the top plate of the diffusion member 71. The central side of the shower member 72, which will be described later, without diffusing the second gas for post-mix, such as HF gas and NH3 gas, which is supplied through the second gas supply pipe 76, into the diffusion chamber. It is configured to supply to the area of. Further, a peripheral gas supply pipe 77 is provided at a position near the peripheral edge inside the diffusion member 71, and a second gas supplied via the second gas supply pipe 78 connected to the top plate is supplied to the diffusion chamber. It is configured to supply to the peripheral region of the shower member 72, which will be described later, without diffusing. Note that 86 in the figure is a second gas supply source for post-mixing such as HF gas and NH3 gas, and V4 and V5 in FIG. 21 are valves provided in the second gas supply pipes 76 and 78, respectively. , M4 and M5 are flow rate adjusting units provided in the second gas supply pipes 76 and 78, respectively.

図21、図23に示すようにシャワー部材72は、扁平な有底円筒形状の部材で構成され、上方を拡散部材の底板71aにより塞がれることにより内部にシャワー室が形成される。シャワー室内は、区画壁81により、中央領域と周縁側領域とに区画されている。そして拡散部材71の中央側ガス供給管75を介してシャワー室に供給される第2のガスは、図21中破線の矢印で示すように、シャワー室内における区画壁81に囲まれた中央領域に流れ込み、区画壁81に囲まれた中央領域の底面に形成された中央側ガス吐出孔82から、処理空間Sに流れ込み、載置台3に載置されたウエハWに向けて吐出される。 As shown in FIGS. 21 and 23, the shower member 72 is composed of a flat bottomed cylindrical member, and a shower chamber is formed inside by closing the upper part with the bottom plate 71a of the diffusion member. The shower room is divided into a central region and a peripheral region by a partition wall 81. The second gas supplied to the shower chamber via the central gas supply pipe 75 of the diffusion member 71 is located in the central region surrounded by the partition wall 81 in the shower chamber, as shown by the broken line arrow in FIG. 21. It flows in and flows into the processing space S from the central gas discharge hole 82 formed in the bottom surface of the central region surrounded by the partition wall 81, and is discharged toward the wafer W mounted on the mounting table 3.

また拡散部材71の周縁側ガス供給管77を介してシャワー室に供給される第2のガスは、図21中鎖線の矢印で示すように、シャワー室内における区画壁81よりも外側の周縁領域に流れ込み、区画壁81よりも外側の周縁領域の底面に形成された周縁側ガス吐出孔83から、処理空間Sに流れ込み、載置台3に載置されたウエハWに向けて吐出される。 Further, the second gas supplied to the shower chamber via the peripheral side gas supply pipe 77 of the diffusion member 71 is in the peripheral region outside the partition wall 81 in the shower chamber, as shown by the arrow of the middle chain line in FIG. It flows in and flows into the processing space S from the peripheral side gas discharge hole 83 formed on the bottom surface of the peripheral edge region outside the partition wall 81, and is discharged toward the wafer W mounted on the mounting table 3.

またシャワー室内には、拡散部材71の底板71aに形成された孔部74に各々対応して、ガス供給管84が設けられ、図21中実線の矢印で示すように、拡散部材71の孔部74から吐出される第1のガスをシャワー室内に拡散させずにシャワー部材72の下方吐出するように構成されている。この孔部74及びガス供給管84は、第1のガス吐出孔に相当する。このような基板処理装置においても、第1のガスを拡散空間Dにて拡散して処理空間Sに吐出すると共に、第2のガスを、拡散室を通過させずにシャワー部材72内の中央領域及び周縁領域から処理空間Sに夫々独立して供給することができる。そのため処理容器20内における第2のガスの濃度分布を調整することができ同様の効果を得ることができる。 Further, in the shower room, a gas supply pipe 84 is provided corresponding to each of the holes 74 formed in the bottom plate 71a of the diffusion member 71, and as shown by the solid line arrow in FIG. 21, the hole portion of the diffusion member 71 is provided. The first gas discharged from the 74 is configured to be discharged downward of the shower member 72 without diffusing into the shower chamber. The hole 74 and the gas supply pipe 84 correspond to the first gas discharge hole. Even in such a substrate processing apparatus, the first gas is diffused in the diffusion space D and discharged to the processing space S, and the second gas is not allowed to pass through the diffusion chamber, but is in the central region in the shower member 72. And can be independently supplied to the processing space S from the peripheral region. Therefore, the concentration distribution of the second gas in the processing container 20 can be adjusted, and the same effect can be obtained.

2 プラズマ処理装置
3 載置台
4、8 シャワー板
5 仕切り部
7 シャワーヘッド
20 処理容器
41A 中央側ガス吐出孔
41B 周縁側ガス吐出孔
42 スリット
51 イオントラップ部
D 拡散空間
P プラズマ空間
S 処理空間
W ウエハ
2 Plasma processing device 3 Mounting table 4, 8 Shower plate 5 Partition 7 Shower head 20 Processing container 41A Central side gas discharge hole 41B Peripheral side gas discharge hole 42 Slit 51 Ion trap part D Diffusion space P Plasma space S Processing space W Wafer

Claims (15)

処理容器内の載置台に基板を載置し、ガスを供給して基板を処理する基板処理装置において、
前記載置台に対向して設けられ、基板が配置される処理空間と第1のガスが拡散する拡散空間との間に設けられた仕切り部と、
前記拡散空間に前記第1のガスを供給するための第1のガス供給部と、
前記仕切り部を厚さ方向に貫通して形成され、前記拡散空間に拡散した第1のガスを前記処理空間に吐出させるための複数の第1のガス吐出孔と、
前記仕切り部における前記処理空間側のガス吐出面に開口する複数の第2のガス吐出孔を含み、前記第1のガスとは独立して第2のガスを、当該処理空間において横方向に並んだ複数の領域に各々独立して供給する第2のガス供給部と
前記拡散空間に供給された第1のガスを活性化するためのプラズマ発生部と、
前記第1のガス吐出孔よりも前記拡散空間側に、その内部のガス流路が前記第1のガス吐出孔に連通するように設けられ、活性化された第1のガス中のイオンをトラップするイオントラップ部と、を備え、
前記仕切り部はイオントラップ部の熱が処理空間側に伝わることを抑制する遮熱部材を含むことを特徴とする基板処理装置。
In a substrate processing device in which a substrate is placed on a mounting table in a processing container and gas is supplied to process the substrate.
A partition portion provided opposite to the above-mentioned stand and provided between the processing space in which the substrate is arranged and the diffusion space in which the first gas diffuses,
A first gas supply unit for supplying the first gas to the diffusion space,
A plurality of first gas discharge holes formed by penetrating the partition portion in the thickness direction and for discharging the first gas diffused in the diffusion space into the processing space.
A plurality of second gas discharge holes opened in the gas discharge surface on the treatment space side in the partition portion are included, and the second gas is arranged laterally in the treatment space independently of the first gas. However, a second gas supply unit that independently supplies multiple regions ,
A plasma generating unit for activating the first gas supplied to the diffusion space, and
A gas flow path inside the diffusion space is provided on the diffusion space side of the first gas discharge hole so as to communicate with the first gas discharge hole, and traps ions in the activated first gas. Equipped with an ion trap section
The partition portion is a substrate processing apparatus including a heat shield member that suppresses heat transfer of the ion trap portion to the processing space side .
前記遮熱部材及び処理容器は、金属で構成され、
前記遮熱部材と処理容器とが互いに接触するように配置されたことを特徴とする請求項に記載の基板処理装置。
The heat shield member and the processing container are made of metal and are made of metal.
The substrate processing apparatus according to claim 1 , wherein the heat shield member and the processing container are arranged so as to be in contact with each other.
前記仕切り部は、第2のガスを通流させる流路が前記遮熱部材の内部に穿設されたことを特徴とする請求項1または2に記載の基板処理装置。 The substrate processing apparatus according to claim 1 or 2 , wherein the partition portion is provided with a flow path through which a second gas flows inside the heat shield member. 処理容器内の載置台に基板を載置し、ガスを供給して基板を処理する基板処理装置において、
前記載置台に対向して設けられ、基板が配置される処理空間と第1のガスが拡散する拡散空間との間に設けられた仕切り部と、
前記拡散空間に前記第1のガスを供給するための第1のガス供給部と、
前記仕切り部を厚さ方向に貫通して形成され、前記拡散空間に拡散した第1のガスを前記処理空間に吐出させるための複数の第1のガス吐出孔と、
前記仕切り部における前記処理空間側のガス吐出面に開口する複数の第2のガス吐出孔を含み、前記第1のガスとは独立して第2のガスを、当該処理空間において横方向に並んだ複数の領域に各々独立して供給する第2のガス供給部と、を備え
前記拡散空間に供給された第1のガスを活性化するためのプラズマ発生部を備え、
前記第1のガスは、前記基板の表面に形成されたシリコン窒化膜をエッチングするためのエッチングガスであり、
前記第2のガスは、前記処理空間における前記第1のガスの分布を調整するための分布調整用ガスであることを特徴とする基板処理装置。
In a substrate processing device in which a substrate is placed on a mounting table in a processing container and gas is supplied to process the substrate.
A partition portion provided opposite to the above-mentioned stand and provided between the processing space in which the substrate is arranged and the diffusion space in which the first gas diffuses,
A first gas supply unit for supplying the first gas to the diffusion space,
A plurality of first gas discharge holes formed by penetrating the partition portion in the thickness direction and for discharging the first gas diffused in the diffusion space into the processing space.
A plurality of second gas discharge holes opened in the gas discharge surface on the treatment space side in the partition portion are included, and the second gas is arranged laterally in the treatment space independently of the first gas. However, it is equipped with a second gas supply unit that supplies each of a plurality of regions independently .
A plasma generating unit for activating the first gas supplied to the diffusion space is provided.
The first gas is an etching gas for etching the silicon nitride film formed on the surface of the substrate.
The substrate processing apparatus, wherein the second gas is a distribution adjusting gas for adjusting the distribution of the first gas in the processing space.
前記エッチングの前あるいは前記エッチングの後に前記シリコン窒化膜の表面における酸化膜を除去するための酸化膜除去ガスを、前記第1のガス供給部が前記拡散空間を介して前記処理空間に供給するか、あるいは前記第2のガス供給部が前記処理空間に供給することを特徴とする請求項記載の基板処理装置。 Whether the first gas supply unit supplies the oxide film removing gas for removing the oxide film on the surface of the silicon nitride film to the processing space through the diffusion space before or after the etching. The substrate processing apparatus according to claim 4 , wherein the second gas supply unit supplies the processing space. 前記第1のガス供給部は前記エッチングガスを前記拡散空間に供給する前に当該拡散空間に前記シリコン窒化膜を改質するための改質ガスを供給し、
前記プラズマ発生部は、当該改質用ガスを活性化することを特徴とする請求項またはに記載の基板処理装置。
The first gas supply unit supplies a reforming gas for reforming the silicon nitride film to the diffusion space before supplying the etching gas to the diffusion space.
The substrate processing apparatus according to claim 4 or 5 , wherein the plasma generating unit activates the reforming gas.
前記第1のガス吐出孔よりも前記拡散空間側に、その内部のガス流路が前記第1のガス
吐出孔に連通するように設けられ、活性化された第1のガス中のイオンをトラップするイオントラップ部を備えたことを特徴とする請求項4ないし6のいずれか一項に記載の基板処理装置。
A gas flow path inside the diffusion space is provided on the diffusion space side of the first gas discharge hole so as to communicate with the first gas discharge hole, and traps ions in the activated first gas. The substrate processing apparatus according to any one of claims 4 to 6, further comprising an ion trap portion.
前記複数の領域は、前記基板の中心軸を中心とする中央領域と、前記中央領域を囲む周縁領域と、を含み、
記第2のガス供給部は、前記中央領域に対して第2のガスを供給する中央側ガス供給部と、
前記周縁領域に対して第2のガスを供給する周縁側ガス供給部と、を備えることを特徴とする請求項1ないし7のいずれか一項に記載の基板処理装置
The plurality of regions include a central region centered on the central axis of the substrate and a peripheral region surrounding the central region.
The second gas supply unit includes a central gas supply unit that supplies the second gas to the central region, and a central gas supply unit.
The substrate processing apparatus according to any one of claims 1 to 7, further comprising a peripheral gas supply unit for supplying a second gas to the peripheral region.
前記仕切り部は板状体により構成され、
前記中央側ガス供給部は、前記板状体の周囲に形成された中央領域用のガス導入路と、一端側が前記ガス導入路に連通するように前記板状体の内部に形成され、他端側が前記ガス吐出面に沿って前記板状体の中央領域まで引き出されると共に前記第2のガス吐出孔が開口する中央領域用のガス流路と、を備え、
前記周縁側ガス供給部は、前記板状体の周囲に形成された周縁領域用のガス導入路と、一端側が当該ガス導入路に連通するように前記板状体の内部に形成され、他端側が前記ガス吐出面に沿って前記板状体の周縁領域に引き出されると共に、前記第2のガス吐出孔が開口する周縁領域用のガス流路と、を備えたことを特徴とする請求項に記載の基板処理装置。
The partition portion is composed of a plate-shaped body and is composed of a plate-like body.
The central gas supply unit is formed inside the plate-shaped body so that the gas introduction path for the central region formed around the plate-shaped body and one end side communicate with the gas introduction path, and the other end. The side is provided with a gas flow path for the central region, which is drawn out along the gas discharge surface to the central region of the plate-like body and the second gas discharge hole is opened.
The peripheral side gas supply unit is formed inside the plate-shaped body so that one end side communicates with the gas introduction path for the peripheral area formed around the plate-shaped body and the other end. 8 . The substrate processing apparatus according to.
前記中央領域用のガス流路の他端側は、前記板状体の中央領域まで引き出され、分岐していることを特徴とする請求項に記載の基板処理装置。 The substrate processing apparatus according to claim 9 , wherein the other end side of the gas flow path for the central region is drawn out to the central region of the plate-shaped body and branched. 前記中央領域用のガス流路、前記周縁領域用のガス流路は各々複数設けられ、
前記仕切り部の厚さ方向を高さ方向とすると、前記複数の中央領域用のガス流路の各々にガスを供給するためにガスを拡散させる中央領域用の拡散流路と、
前記複数の周縁領域用の流路の各々にガスを供給するためにガスを拡散させる周縁領域用の拡散流路と、が高さ方向の位置が互いに異なるように設けられていることを特徴とする請求項に記載の基板処理装置。
A plurality of gas flow paths for the central region and a plurality of gas flow paths for the peripheral region are provided.
When the thickness direction of the partition portion is the height direction, the diffusion flow path for the central region, which diffuses the gas to supply the gas to each of the gas flow paths for the plurality of central regions, and the diffusion flow path for the central region.
The feature is that the diffusion flow path for the peripheral region, which diffuses the gas to supply the gas to each of the flow paths for the plurality of peripheral regions, is provided so that the positions in the height direction are different from each other. 9. The substrate processing apparatus according to claim 9 .
前記第1のガスは、基板を処理する処理ガスであり、前記第2のガスは、不活性ガスであることを特徴とする請求項1ないし11のいずれか一項に記載の基板処理装置。 The substrate processing apparatus according to any one of claims 1 to 11 , wherein the first gas is a processing gas for processing a substrate, and the second gas is an inert gas. 処理容器内の載置台に基板を載置し、ガスを供給して基板を処理する基板処理装置において、
前記載置台に対向して設けられ、基板が配置される処理空間と第1のガスが拡散する拡散空間との間に設けられた仕切り部と、
前記拡散空間に前記第1のガスを供給するための第1のガス供給部と、
前記仕切り部を厚さ方向に貫通して形成され、前記拡散空間に拡散した第1のガスを前記処理空間に吐出させるための複数の第1のガス吐出孔と、
前記仕切り部における前記処理空間側のガス吐出面に開口する複数の第2のガス吐出孔を含み、前記第1のガスとは独立して第2のガスを、当該処理空間において横方向に並んだ複数の領域に各々独立して供給する第2のガス供給部と、を備えた基板処理装置を用いた基板処理方法において、
前記拡散空間に供給された前記第1のガスを活性化して前記処理空間に供給し、前記基板の表面に形成されたシリコン窒化膜をエッチングするエッチング工程と、
前記処理空間における前記活性化された前記第1のガスの分布を調整するために、当該処理空間において横方向に並んだ複数の領域に各々第2のガスを供給する分布調整工程と、
前記エッチング工程及び分布調整工程の後に行われ、前記シリコン窒化膜の表面における酸化膜を除去するための酸化膜除去ガスを、前記第1のガス供給部から前記拡散空間を介して前記処理空間に供給するか、前記第2のガス供給部から前記処理空間に供給する工程と、を備えることを特徴とする基板処理方法。
In a substrate processing device in which a substrate is placed on a mounting table in a processing container and gas is supplied to process the substrate.
A partition portion provided opposite to the above-mentioned stand and provided between the processing space in which the substrate is arranged and the diffusion space in which the first gas diffuses,
A first gas supply unit for supplying the first gas to the diffusion space,
A plurality of first gas discharge holes formed by penetrating the partition portion in the thickness direction and for discharging the first gas diffused in the diffusion space into the processing space.
A plurality of second gas discharge holes opened in the gas discharge surface on the treatment space side in the partition portion are included, and the second gas is arranged laterally in the treatment space independently of the first gas. However, in a substrate processing method using a substrate processing apparatus provided with a second gas supply unit that independently supplies a plurality of regions .
An etching step of activating the first gas supplied to the diffusion space and supplying it to the processing space to etch a silicon nitride film formed on the surface of the substrate.
A distribution adjusting step of supplying a second gas to each of a plurality of laterally arranged regions in the processing space in order to adjust the distribution of the activated first gas in the processing space.
An oxide film removing gas for removing an oxide film on the surface of the silicon nitride film, which is performed after the etching step and the distribution adjusting step, is supplied from the first gas supply unit to the processing space via the diffusion space. A substrate processing method comprising: supplying or supplying from the second gas supply unit to the processing space.
前記エッチング工程及び分布調整工程の前に行われ、前記基板の表面における酸化膜を除去するための酸化膜除去ガスを、前記第1のガス供給部から前記拡散空間を介して前記処理空間に供給するか、前記第2のガス供給部から前記処理空間に供給する工程と、を備える請求項13記載の基板処理方法。 An oxide film removing gas for removing an oxide film on the surface of the substrate, which is performed before the etching step and the distribution adjusting step, is supplied from the first gas supply unit to the processing space via the diffusion space. The substrate processing method according to claim 13 , further comprising a step of supplying the processing space from the second gas supply unit. 前記エッチング工程及び分布調整工程の前に、前記第1のガス供給部から前記拡散空間に前記シリコン窒化膜を改質するための改質ガスを供給する工程と、
当該改質ガスを活性化して、前記基板に供給する工程と、を備えることを特徴とする請求項13または14に記載の基板処理方法。
Prior to the etching step and the distribution adjusting step, a step of supplying a reforming gas for reforming the silicon nitride film from the first gas supply unit to the diffusion space and a step of supplying the reforming gas.
The substrate processing method according to claim 13 , further comprising a step of activating the reformed gas and supplying the reformed gas to the substrate.
JP2018019439A 2017-03-29 2018-02-06 Board processing device and board processing method. Active JP7035581B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1020180030560A KR102096700B1 (en) 2017-03-29 2018-03-16 Substrate processing apparatus and substrate procesing method
TW107110416A TWI763810B (en) 2017-03-29 2018-03-27 Substrate processing apparatus and substrate processing method
CN202010192194.5A CN111370285B (en) 2017-03-29 2018-03-29 Substrate processing apparatus and gas introduction plate
CN201810268895.5A CN108695133B (en) 2017-03-29 2018-03-29 Substrate processing apparatus and substrate processing method
US15/940,528 US10541145B2 (en) 2017-03-29 2018-03-29 Substrate processing apparatus and substrate processing method
US16/710,791 US10985029B2 (en) 2017-03-29 2019-12-11 Substrate processing apparatus and substrate processing method
KR1020200036070A KR102190863B1 (en) 2017-03-29 2020-03-25 Substrate processing apparatus and gas introduction plate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017065992 2017-03-29
JP2017065992 2017-03-29

Publications (2)

Publication Number Publication Date
JP2018170499A JP2018170499A (en) 2018-11-01
JP7035581B2 true JP7035581B2 (en) 2022-03-15

Family

ID=64018869

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018019439A Active JP7035581B2 (en) 2017-03-29 2018-02-06 Board processing device and board processing method.

Country Status (3)

Country Link
JP (1) JP7035581B2 (en)
KR (1) KR102190863B1 (en)
TW (1) TWI763810B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020188744A1 (en) * 2019-03-19 2020-09-24 株式会社Kokusai Electric Method for manufacturing semiconductor device, substrate processing device, and program
JP7282646B2 (en) * 2019-09-26 2023-05-29 株式会社アルバック Vacuum processing equipment
CN114068272B (en) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 Gas flow regulating device and regulating method and plasma processing device
KR102505532B1 (en) * 2021-04-12 2023-03-06 한국재료연구원 Control cartridge for plasma processing apparatus and method for plasma processing using the same
JP2023045093A (en) * 2021-09-21 2023-04-03 東京エレクトロン株式会社 Device for performing plasma processing, and method for performing plasma processing
JP2023087228A (en) * 2021-12-13 2023-06-23 東京エレクトロン株式会社 Gas treatment method and gas treatment device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010512031A (en) 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド Gas distribution plate in the center of the chamber, tuned plasma flow control grid and electrodes
JP2014508424A (en) 2011-03-14 2014-04-03 アプライド マテリアルズ インコーポレイテッド Etching method of SiN film

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2724165B2 (en) * 1987-08-28 1998-03-09 株式会社東芝 Method and apparatus for removing organic compound film
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4664119B2 (en) 2005-05-17 2011-04-06 東京エレクトロン株式会社 Plasma processing equipment
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
KR101437522B1 (en) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP5192214B2 (en) 2007-11-02 2013-05-08 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and substrate processing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010512031A (en) 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド Gas distribution plate in the center of the chamber, tuned plasma flow control grid and electrodes
JP2014508424A (en) 2011-03-14 2014-04-03 アプライド マテリアルズ インコーポレイテッド Etching method of SiN film

Also Published As

Publication number Publication date
TWI763810B (en) 2022-05-11
JP2018170499A (en) 2018-11-01
TW201900917A (en) 2019-01-01
KR102190863B1 (en) 2020-12-14
KR20200035925A (en) 2020-04-06

Similar Documents

Publication Publication Date Title
JP7035581B2 (en) Board processing device and board processing method.
CN111370285B (en) Substrate processing apparatus and gas introduction plate
KR102283949B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
KR101064354B1 (en) Barrier film forming method
JP6929148B2 (en) Etching method and etching equipment
US10017853B2 (en) Processing method of silicon nitride film and forming method of silicon nitride film
US20170306493A1 (en) Method & apparatus to prevent deposition rate/thickness drift, reduce particle defects & increase remote plasma system lifetime
TWI710015B (en) Substrate processing method
US20100093185A1 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
US7972973B2 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
KR20070033930A (en) Processing unit
WO2006106872A1 (en) Plasma doping method and system
CN112673456A (en) Atomic layer treatment process using metastable activated radical species
KR20180116327A (en) Substrate processing method
JP6764771B2 (en) Substrate processing equipment and heat shield
KR101559874B1 (en) Substrate treating apparatus and chamber producing method
JP2022116742A (en) Substrate processing method and substrate processing apparatus
KR101384590B1 (en) Method for fabricating semiconductor device and apparatus for fabricating the same
KR102275509B1 (en) Support unit and apparatus for treating substrate
WO2024053442A1 (en) Plasma processing device
US11972943B2 (en) Methods and apparatus for depositing dielectric material
TW202032656A (en) Etching method and etching apparatus
JP2022191129A (en) Semiconductor manufacturing device and method for manufacturing semiconductor device
JP2023130026A (en) Embedding method and processing system
TW202025283A (en) Methods for depositing dielectric material

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201009

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220214

R150 Certificate of patent or registration of utility model

Ref document number: 7035581

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150