JP2022116742A - Substrate processing method and substrate processing apparatus - Google Patents

Substrate processing method and substrate processing apparatus Download PDF

Info

Publication number
JP2022116742A
JP2022116742A JP2021013072A JP2021013072A JP2022116742A JP 2022116742 A JP2022116742 A JP 2022116742A JP 2021013072 A JP2021013072 A JP 2021013072A JP 2021013072 A JP2021013072 A JP 2021013072A JP 2022116742 A JP2022116742 A JP 2022116742A
Authority
JP
Japan
Prior art keywords
mask
gas
film
substrate processing
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021013072A
Other languages
Japanese (ja)
Inventor
翔 熊倉
Sho Kumakura
裕輔 瀧野
Yusuke Takino
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2021013072A priority Critical patent/JP2022116742A/en
Priority to TW111102021A priority patent/TW202236370A/en
Priority to KR1020220009298A priority patent/KR20220110096A/en
Priority to CN202210071088.0A priority patent/CN114823329A/en
Priority to US17/586,251 priority patent/US20220246440A1/en
Publication of JP2022116742A publication Critical patent/JP2022116742A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

To provide a substrate processing method and a substrate processing apparatus capable of suppressing shape abnormalities of patterns formed on a substrate.SOLUTION: A substrate processing method includes the steps of: a) providing a substrate including a film to be etched, a first mask formed on the film to be etched, and a second mask formed on the first mask and having a film type different from that of the first mask and having apertures; b) selectively etching the first mask against the second mask to form an aperture in which at least a portion of the first mask has a larger aperture dimension than the aperture dimension of the bottom of the second mask, and c) etching the film to be etched.SELECTED DRAWING: Figure 2

Description

本開示は、基板処理方法および基板処理装置に関する。 The present disclosure relates to a substrate processing method and a substrate processing apparatus.

半導体装置の集積が水平方向だけでなく垂直方向にも進むに伴い、半導体装置の製造過程において形成されるパターンのアスペクト比も高くなっている。たとえば、3D NANDの製造では多数の金属配線層を貫通する方向にチャネルホールを形成する。64層のメモリセルを形成する場合であれば、チャネルホールのアスペクト比は45になる。 As the integration of semiconductor devices progresses not only in the horizontal direction but also in the vertical direction, the aspect ratios of patterns formed in the manufacturing process of semiconductor devices are becoming higher. For example, in the manufacture of 3D NAND, channel holes are formed in a direction penetrating many metal wiring layers. In the case of forming a memory cell with 64 layers, the aspect ratio of channel holes is 45.

高アスペクト比のパターンを高精度に形成するため様々な手法が提案されている。たとえば、半導体基板の誘電体材料に形成された開口にエッチングと成膜とを繰り返し実行することで、横方向へのエッチングを抑制する手法が提案されている(特許文献1)。 Various methods have been proposed for forming a pattern with a high aspect ratio with high accuracy. For example, there has been proposed a method of suppressing lateral etching by repeatedly performing etching and film formation in an opening formed in a dielectric material of a semiconductor substrate (Patent Document 1).

米国特許出願公開第2016/0343580号明細書U.S. Patent Application Publication No. 2016/0343580

本開示は、基板上に形成されるパターンの形状異常を抑制できる基板処理方法および基板処理装置を提供する。 The present disclosure provides a substrate processing method and a substrate processing apparatus capable of suppressing abnormal shape of a pattern formed on a substrate.

本開示の一態様による基板処理方法は、a)エッチング対象膜と、エッチング対象膜上に形成された第1のマスクと、第1のマスク上に形成され、第1のマスクと膜種が異なり、開口を有する第2のマスクとを備える基板を提供する工程と、b)第2のマスクに対して選択的に第1のマスクをエッチングし、第1のマスクの少なくとも一部の開口寸法が第2のマスクの底部の開口寸法よりも大きい開口を形成する工程と、c)エッチング対象膜をエッチングする工程とを有する。 A substrate processing method according to an aspect of the present disclosure includes: a) a film to be etched, a first mask formed on the film to be etched, and a film type different from that of the first mask formed on the first mask. and a second mask having openings; and b) etching the first mask selectively with respect to the second mask, such that at least a portion of the opening dimensions of the first mask are: The method includes the steps of: forming an opening larger than the size of the opening in the bottom of the second mask; and c) etching the etching target film.

本開示によれば、基板上に形成されるパターンの形状異常を抑制できる。 According to the present disclosure, it is possible to suppress the abnormal shape of the pattern formed on the substrate.

図1は、垂直マスクとテーパマスクを用いた場合のCDの一例を示す図である。FIG. 1 is a diagram showing an example of CD when using a vertical mask and a tapered mask. 図2は、本開示の一実施形態における基板処理方法の一例を示すフローチャートである。FIG. 2 is a flow chart showing an example of a substrate processing method according to an embodiment of the present disclosure. 図3は、本実施形態における基板処理方法により形成されるパターンの一例を示す図である。FIG. 3 is a diagram showing an example of a pattern formed by the substrate processing method according to this embodiment. 図4は、本実施形態においてターゲットとするパターン構造の一例を示す図である。FIG. 4 is a diagram showing an example of a pattern structure targeted in this embodiment. 図5は、本実施形態における実施例と比較例との実験結果の一例を示す図である。FIG. 5 is a diagram showing an example of experimental results of an example and a comparative example in the present embodiment. 図6は、本実施形態における基板処理装置の一例を示す図である。FIG. 6 is a diagram showing an example of a substrate processing apparatus according to this embodiment.

以下に、開示する基板処理方法および基板処理装置の実施形態について、図面に基づいて詳細に説明する。なお、以下の実施形態により開示技術が限定されるものではない。 Embodiments of the disclosed substrate processing method and substrate processing apparatus will be described in detail below with reference to the drawings. Note that the disclosed technology is not limited by the following embodiments.

なお、以下の説明中、「パターン」とは基板上に形成された形状全般を指す。パターンは例えば、ホール、トレンチ、ラインアンドスペース等、基板上に形成された複数の形状全体を指す。また、「開口」とは基板上に形成されたパターンのうち、基板の厚み方向に窪んだ形状の部分を指す。また、開口は、窪んだ形状の内周面である「側壁」、窪んだ形状の底部分である「底部」、および、側壁と連続する、側壁近傍の基板表面である「頂部」を有する。また、開口により形成される空間中、横方向寸法を「開口寸法」と呼ぶ。「開口」という用語は、底部および側壁により囲まれる空間全体または空間の任意の位置を指すためにも使用する。 In the following description, "pattern" refers to all shapes formed on the substrate. A pattern refers to a whole plurality of features formed on a substrate, such as holes, trenches, lines and spaces, for example. Further, the “opening” refers to a portion of a pattern formed on a substrate that is recessed in the thickness direction of the substrate. Further, the opening has a "side wall" that is the inner peripheral surface of the recessed shape, a "bottom part" that is the bottom part of the recessed shape, and a "top part" that is the substrate surface near the side wall that is continuous with the side wall. Also, the lateral dimension of the space formed by the aperture is called the "aperture dimension." The term "opening" is also used to refer to the entire space enclosed by the bottom and sidewalls or any location in the space.

「縦方向」は、基板上に形成された複数の膜の膜厚方向を指す。縦方向は、基板表面に対して略垂直な方向である。「横方向」は、基板表面に対して平行な方向を指す。横方向は、縦方向に対して略垂直である。なお、縦方向および横方向のいずれも厳密に一つの方向のみを指すのではなく、一定の誤差を許容する。 "Longitudinal direction" refers to the film thickness direction of a plurality of films formed on a substrate. The longitudinal direction is a direction substantially perpendicular to the substrate surface. "Lateral" refers to a direction parallel to the substrate surface. The horizontal direction is substantially perpendicular to the vertical direction. Note that neither the vertical direction nor the horizontal direction strictly indicates only one direction, and a certain error is allowed.

エッチング対象膜にアスペクト比が高い垂直形状のパターンをエッチングする場合、マスクにおける開口についても、底部がテーパ形状よりも垂直形状となっている場合の方が好ましいと考えられている。ところが、エッチング対象膜のマスク直下の部分では、保護膜やエッチング中のデポ等が付着し、Bar-CD(Critical Dimension)が大きくなる場合がある。ここで、Bar-CDは、エッチングされずに残る部分(以下、バーともいう。)の寸法を表している。なお、エッチングされる開口の寸法は、Space-CDと表す。そこで、基板上に形成されるパターンの垂直加工性を向上させて、当該パターンの形状異常を抑制することが期待されている。 When etching a vertical pattern with a high aspect ratio in a film to be etched, it is considered preferable for the opening in the mask to have a vertical bottom rather than a tapered shape. However, a protective film, deposits during etching, and the like adhere to a portion of the film to be etched directly under the mask, which may increase the Bar-CD (Critical Dimension). Here, Bar-CD represents the dimension of the portion remaining without being etched (hereinafter also referred to as bar). Note that the dimension of the etched opening is expressed as Space-CD. Therefore, it is expected to improve the vertical workability of the pattern formed on the substrate and suppress the shape abnormality of the pattern.

[垂直マスクとテーパマスクを用いた場合のCD]
まず、図1を用いて垂直マスクとテーパマスクを用いた場合のCDについて説明する。図1は、垂直マスクとテーパマスクを用いた場合のCDの一例を示す図である。図1の(A)は、垂直マスクを用いた場合である。図1の(A)に示す基板100は、下地膜101の上にエッチング対象膜102とマスク103とが形成されている。マスク103は、開口の底部の側壁が垂直となっている。基板100に対してエッチングを行った場合、エッチング対象膜102のバー102aは、マスク103の直下のCD104と上部のCD105とが、中間部のCD106と底部のCD107に比べて大きくなっている。ここで、CD104~107はBar-CDであり、エッチングされた孔のCD108は、Space-CDである。
[CD when vertical mask and tapered mask are used]
First, the CD when using a vertical mask and a tapered mask will be described with reference to FIG. FIG. 1 is a diagram showing an example of CD when using a vertical mask and a tapered mask. FIG. 1A shows the case of using a vertical mask. A substrate 100 shown in FIG. 1A has an etching target film 102 and a mask 103 formed on a base film 101 . The mask 103 has vertical sidewalls at the bottom of the opening. When the substrate 100 is etched, the bar 102a of the film 102 to be etched has a CD 104 directly below the mask 103 and a CD 105 on the top, which are larger than a CD 106 at the middle and a CD 107 at the bottom. Here CDs 104-107 are the Bar-CDs and the etched hole CD 108 is the Space-CD.

図1の(B)は、テーパマスクを用いた場合である。図1の(B)に示す基板110は、下地膜111の上にエッチング対象膜112とマスク113とが形成されている。マスク113は、開口の底部の側壁がテーパ形状となっている。基板110に対してエッチングを行った場合、エッチング対象膜112のバー112aは、マスク113の直下のCD114が、上部のCD115と、中間部のCD116と、底部のCD117とに比べて大きくなっている。ここで、CD114~117はBar-CDであり、エッチングされた孔のCD118は、Space-CDである。図1の(A),(B)に示すように、垂直マスクやテーパマスクを用いた場合、マスク直下の垂直加工性が低下する場合がある。 FIG. 1B shows the case of using a taper mask. A substrate 110 shown in FIG. 1B has an etching target film 112 and a mask 113 formed on a base film 111 . The mask 113 has a tapered side wall at the bottom of the opening. When the substrate 110 is etched, the bar 112a of the film to be etched 112 has a larger CD 114 directly under the mask 113 than the CD 115 at the top, the CD 116 at the middle, and the CD 117 at the bottom. . Here CDs 114-117 are the Bar-CDs and the etched hole CD 118 is the Space-CD. As shown in FIGS. 1A and 1B, when a vertical mask or a tapered mask is used, the vertical workability directly under the mask may deteriorate.

[本実施形態における基板処理方法]
次に、図2および図3を用いて本実施形態における基板処理方法について説明する。図2は、本開示の一実施形態における基板処理方法の一例を示すフローチャートである。図3は、本実施形態における基板処理方法により形成されるパターンの一例を示す図である。
[Substrate processing method in this embodiment]
Next, the substrate processing method according to this embodiment will be described with reference to FIGS. 2 and 3. FIG. FIG. 2 is a flow chart showing an example of a substrate processing method according to an embodiment of the present disclosure. FIG. 3 is a diagram showing an example of a pattern formed by the substrate processing method according to this embodiment.

図2に示すように、まず、被処理体である基板200を提供する(ステップS1)。図3の(A)に示すように、基板200は、下地膜201上にエッチング対象膜202と、第1のマスク203と、第2のマスク204とが形成されている。第2のマスク204は、第1のマスク203と膜種が異なっている。 As shown in FIG. 2, first, a substrate 200, which is an object to be processed, is provided (step S1). As shown in FIG. 3A, a substrate 200 has an etching target film 202, a first mask 203, and a second mask 204 formed on a base film 201. As shown in FIG. The film type of the second mask 204 is different from that of the first mask 203 .

次に、第2のマスク204に開口205を形成する(ステップS2)。ステップS2では、例えば、第2のマスク204上に開口を有する第3のマスク(図示せず)を形成し、この第3のマスクを用いて第2のマスク204に開口205を形成する。図3の(B)に示すように、第2のマスク204に形成された開口205の底部206は、第1のマスク203の上面が露出した状態となっている。なお、ステップS1において、第2のマスク204に予め開口205が形成された状態の基板200を被処理体として提供する場合には、ステップS2は省略することになる。 Next, an opening 205 is formed in the second mask 204 (step S2). In step S2, for example, a third mask (not shown) having openings is formed on the second mask 204, and the openings 205 are formed in the second mask 204 using this third mask. As shown in FIG. 3B, the top surface of the first mask 203 is exposed at the bottom 206 of the opening 205 formed in the second mask 204 . In step S1, when the substrate 200 having the opening 205 formed in advance in the second mask 204 is provided as the object to be processed, step S2 is omitted.

第1のマスク203の上面が露出すると、第2のマスク204に対して選択的に第1のマスク203をエッチングして、第1のマスク203に、第1のマスク203の少なくとも一部のSpace-CD(開口寸法)が第2のマスク204のボトムCDよりも大きい開口を形成する。このように、第1のマスク203の少なくとも一部のSpace-CDが第2のマスク204のボトムCDよりも大きくなるように第1のマスク203をエッチングすることで、エッチング対象膜202のエッチング時にデポ等が付着しても影になりにくく、第1のマスク203直下のエッチング対象膜202を垂直に加工することができる。 After the top surface of the first mask 203 is exposed, the first mask 203 is selectively etched with respect to the second mask 204 to leave at least a portion of the first mask 203 as a space in the first mask 203 . - forming an aperture whose CD (aperture dimension) is greater than the bottom CD of the second mask 204; In this way, by etching the first mask 203 so that the Space-CD of at least a portion of the first mask 203 is larger than the bottom CD of the second mask 204, the etching target film 202 is etched with Even if a deposit or the like adheres, it is unlikely to be shadowed, and the etching target film 202 immediately below the first mask 203 can be processed vertically.

一例では、図3の(C)に示すように、第1のマスク203の側面が逆テーパ形状となるように、エッチング対象膜202の上面207まで第1のマスク203のエッチングを行う。すなわち、第1のマスク203の底部のCD、つまり第1のマスク203のボトムCD1が、第2のマスク204の底部のCD、つまり第2のマスク204のボトムCD2よりも大きくなるようにエッチングを行う。この場合、第1のマスク203は、エッチング対象膜202が露出するまで又は露出する直前まで垂直方向に異方性エッチングして開口を形成した後に、この開口のSpace-CDを広げるようにエッチングしてもよい。この際、イオン改質ALE(Atomic layer etching)により、第1のマスク203に形成された開口のSpace-CDを広げるようにエッチングしてもよい。イオン改質ALEでは、まず、第1ガスから生成した第1のプラズマにより、第1のマスク203の一部を改質して改質領域を形成する(ステップS3)。次に、第2ガスから生成した第2のプラズマにより、改質領域を除去する(ステップS4)。 In one example, as shown in FIG. 3C, the first mask 203 is etched up to the upper surface 207 of the etching target film 202 so that the side surface of the first mask 203 has an inverse tapered shape. That is, the etching is performed so that the CD of the bottom of the first mask 203, that is, the bottom CD1 of the first mask 203, becomes larger than the CD of the bottom of the second mask 204, that is, the bottom CD2 of the second mask 204. conduct. In this case, the first mask 203 is vertically anisotropically etched until or just before the etching target film 202 is exposed to form an opening, and then etched to widen the Space-CD of the opening. may At this time, ion modification ALE (Atomic layer etching) may be performed so as to widen the Space-CD of the opening formed in the first mask 203 . In the ion modification ALE, first, a modified region is formed by partially modifying the first mask 203 with the first plasma generated from the first gas (step S3). Next, the modified region is removed by the second plasma generated from the second gas (step S4).

他の例では、第1のマスク203の側壁の一部に凹部が形成されるように、第2のマスク204に対して選択的に第1のマスク203を等方性エッチングする。例えば、第1のマスク203がシリコン含有膜または金属含有膜である場合、フルオロカーボンガス等のフッ素含有ガスから生成したプラズマにより、第1のマスク203をエッチングすることができる。また例えば、第1のマスク203が有機膜である場合、水素含有ガスまたは酸素含ガスから生成したプラズマにより、第1のマスク203をエッチングすることができる。このほか、HFガス等を低温で基板表面に吸着させて、第1のマスク203の表面と反応させた後、基板を加熱して高温にし、反応後のHFガスを脱離させることにより、第1のマスク203をエッチングしてもよい。HFガスは、シリコン含有膜および有機膜のいずれのエッチングにも用いることができる。なお、HFガスは、上述したガス吸着による手法のほか、通常のドライエッチングのプロセスに適用することもできる。すなわち、HFガスから生成したプラズマを用いて、第1のマスク203をエッチングすることができる。 In another example, the first mask 203 is isotropically etched selectively with respect to the second mask 204 such that some of the sidewalls of the first mask 203 are recessed. For example, if the first mask 203 is a silicon-containing film or a metal-containing film, the first mask 203 can be etched by a plasma generated from a fluorine-containing gas such as a fluorocarbon gas. Further, for example, when the first mask 203 is an organic film, the first mask 203 can be etched with plasma generated from a hydrogen-containing gas or an oxygen-containing gas. In addition, HF gas or the like is adsorbed on the substrate surface at a low temperature and reacted with the surface of the first mask 203, and then the substrate is heated to a high temperature to desorb the HF gas after the reaction. 1 mask 203 may be etched. HF gas can be used to etch both silicon-containing and organic films. The HF gas can also be applied to a normal dry etching process in addition to the above-described gas adsorption method. That is, the first mask 203 can be etched using plasma generated from HF gas.

上述のステップS3,S4を繰り返すことで、第1のマスク203およびエッチング対象膜202のエッチングを進める場合には、ステップS4に続いて、以下の処理を行うようにしてもよい。すなわち、第1のマスク203の改質領域を除去した後、第1のマスク203のボトムCD1と、第2のマスク204のボトムCD2とを比較し、比較の結果に基づいて、ボトムCD1がボトムCD2よりも大きいか否かを判定する処理を行ってもよい。 When the etching of the first mask 203 and the etching target film 202 is advanced by repeating the above steps S3 and S4, the following process may be performed following step S4. That is, after removing the modified region of the first mask 203, the bottom CD1 of the first mask 203 and the bottom CD2 of the second mask 204 are compared, and based on the result of the comparison, the bottom CD1 is the bottom A process of determining whether or not it is larger than CD2 may be performed.

ボトムCD1がボトムCD2以下であると判定した場合、ステップS3に戻り、第1のマスク203のイオン改質ALEを継続する。一方、ボトムCD1がボトムCD2よりも大きいと判定した場合、ボトムCD1が所定値以上であるか否かを判定する。ボトムCD1が所定値未満であると判定した場合、ステップS3に戻り、第1のマスク203のイオン改質ALEを継続する。一方、ボトムCD1が所定値以上であると判定した場合、エッチング対象膜202をエッチングする。 If it is determined that the bottom CD1 is equal to or less than the bottom CD2, the process returns to step S3 to continue the ion modification ALE of the first mask 203. FIG. On the other hand, when it is determined that the bottom CD1 is larger than the bottom CD2, it is determined whether or not the bottom CD1 is equal to or greater than a predetermined value. If it is determined that the bottom CD1 is less than the predetermined value, the process returns to step S3 to continue the ion modification ALE of the first mask 203 . On the other hand, when it is determined that the bottom CD1 is equal to or greater than the predetermined value, the etching target film 202 is etched.

その後、エッチング対象膜202のエッチングを終了するか否かを判定する処理を行ってもよい。エッチング対象膜202が所定の深さまでエッチング出来ておらず、エッチングを終了しない場合、エッチングを継続する。一方、エッチング対象膜202が所定の深さまでエッチングできた場合、エッチングを終了する。開口205の形状は、図3の(D)に示すように、エッチング対象膜202の底部近傍まで垂直方向にエッチングが行われる。このように、基板200上に形成されるパターンの垂直加工性を向上させることで、当該パターンの形状異常を抑制することができる。 After that, a process of determining whether or not the etching of the etching target film 202 is finished may be performed. If the etching target film 202 is not etched to a predetermined depth and the etching is not finished, the etching is continued. On the other hand, when the etching target film 202 has been etched to a predetermined depth, the etching is terminated. As for the shape of the opening 205, as shown in FIG. 3D, etching is performed in the vertical direction up to the vicinity of the bottom of the film 202 to be etched. By improving the vertical workability of the pattern formed on the substrate 200 in this way, it is possible to suppress the shape abnormality of the pattern.

[膜種]
本実施形態において、エッチング対象膜202、第1のマスク203、および、第2のマスク204のそれぞれの膜種は特に限定されない。また、下地膜201は、例えばシリコンウエハである基板200そのものとすることができる。エッチング対象膜202は、例えば、シリコン(Si)膜、ゲルマニウム(Ge)含有膜、有機膜または金属含有膜を用いることができる。有機膜は、例えば、カーボン含有膜を用いることができる。カーボン含有膜は、アモルファスカーボン層(ACL)、スピンオンカーボン膜(SOC)で形成されてもよい。金属含有膜は、例えば、チタン(Ti)膜、タングステン(W)膜等を用いることができる。また、エッチング対象膜202は、複数種類の膜を積層して形成されてもよい。例えば、エッチング対象膜202は、ONON(シリコン酸化膜/シリコン窒化膜)膜、OPOP(シリコン酸化膜/ポリシリコン)膜であってもよい。
[Film type]
In this embodiment, the types of films of the etching target film 202, the first mask 203, and the second mask 204 are not particularly limited. Also, the base film 201 can be the substrate 200 itself, which is, for example, a silicon wafer. The etching target film 202 may be, for example, a silicon (Si) film, a germanium (Ge) containing film, an organic film, or a metal containing film. A carbon-containing film, for example, can be used as the organic film. The carbon-containing film may be formed of an amorphous carbon layer (ACL), a spin-on carbon film (SOC). For example, a titanium (Ti) film, a tungsten (W) film, or the like can be used as the metal-containing film. Also, the etching target film 202 may be formed by laminating a plurality of types of films. For example, the etching target film 202 may be an ONON (silicon oxide/silicon nitride) film or an OPOP (silicon oxide/polysilicon) film.

第1のマスク203は、エッチング対象膜202を選択的にエッチングできるものであればよい。また、第2のマスク204は、第1のマスク203を選択的にエッチングできるものであればよい。例えば、第1のマスク203としては、シリコン含有膜、有機膜または金属含有膜を用いることができる。この場合、第2のマスク204は、第1のマスク203を選択的にエッチングできるものであればよい。より具体的には、第1のマスク203としてシリコン含有膜を用いる場合、第2のマスク204としては、第1のマスクと異なるシリコン含有膜、有機膜または金属含有膜を用いることができる。なお、シリコン含有膜としては、例えば、シリコン酸化膜(SiO2膜)、シリコン窒化膜(SiN膜)、シリコンカーバイド膜(SiC膜)または炭素含有シリコン酸化膜(SiOC膜)等を用いることができる。一例では、第1のマスク203をシリコン窒化膜とし、第2のマスク204をシリコン酸化膜とすることができる。また、他の例では、第1のマスク203をシリコン酸化膜とし、第2のマスク204をシリコン窒化膜としてもよい。また、さらに他の例では、第1のマスク203と第2のマスク204とのうち、一方をシリコン膜とし、他方をシリコン以外の膜としてもよい。このほか、第1のマスク203を有機膜とし、第2のマスク204をシリコン含有膜とする組み合わせや、第1のマスク203を金属含有膜とし、第2のマスク204をシリコン含有膜または有機膜とする組み合わせであってもよい。 The first mask 203 may be any mask that can selectively etch the etching target film 202 . Also, the second mask 204 may be any material as long as the first mask 203 can be selectively etched. For example, the first mask 203 can be a silicon-containing film, an organic film, or a metal-containing film. In this case, the second mask 204 may be any material as long as the first mask 203 can be selectively etched. More specifically, when a silicon-containing film is used as the first mask 203, the second mask 204 can be a silicon-containing film, an organic film, or a metal-containing film different from the first mask. As the silicon-containing film, for example, a silicon oxide film (SiO2 film), a silicon nitride film (SiN film), a silicon carbide film (SiC film), a carbon-containing silicon oxide film (SiOC film), or the like can be used. In one example, the first mask 203 can be silicon nitride and the second mask 204 can be silicon oxide. In another example, the first mask 203 may be a silicon oxide film and the second mask 204 may be a silicon nitride film. In still another example, one of the first mask 203 and the second mask 204 may be a silicon film and the other may be a film other than silicon. In addition, the first mask 203 is an organic film and the second mask 204 is a silicon-containing film, or the first mask 203 is a metal-containing film and the second mask 204 is a silicon-containing film or an organic film. It may be a combination of

[イオン改質ALEのガス種]
本実施形態におけるイオン改質ALEにおいて、第1のマスク203がシリコン含有膜または金属膜である場合には、例えば、第1のガスとしてHe、水素含有ガスおよび窒素含有ガスの群から選択される少なくとも1種を用いることができ、第2のガスとしてフッ素含有ガスを用いることができる。水素含有ガスとしては、H2ガス、D2ガス(重水素ガス)およびNH3ガスの群から選択される少なくとも1種を用いてよい。フッ素含有ガスとしては、NF3ガス、SF6ガスおよびフルオロカーボン(例えば、CF4ガス)の群から選択される少なくとも1種を用いてもよい。第2のガスは、酸素含有ガスを含んでもよい。酸素含有ガスとしては、O2ガス、CO2ガスおよびCOガスの群から選択される少なくとも1種を用いてもよい。第2のガスは、Arなどの希ガスをさらに含んでもよい。
[Gas species of ion-modified ALE]
In the ion modification ALE of this embodiment, when the first mask 203 is a silicon-containing film or a metal film, for example, the first gas is selected from the group consisting of He, hydrogen-containing gas and nitrogen-containing gas. At least one type can be used, and a fluorine-containing gas can be used as the second gas. At least one selected from the group consisting of H2 gas, D2 gas (deuterium gas) and NH3 gas may be used as the hydrogen-containing gas. At least one selected from the group consisting of NF3 gas, SF6 gas and fluorocarbon (for example, CF4 gas) may be used as the fluorine-containing gas. The second gas may include an oxygen-containing gas. At least one selected from the group consisting of O2 gas, CO2 gas and CO gas may be used as the oxygen-containing gas. The second gas may further include a noble gas such as Ar.

一例では、第1のマスク203をシリコン窒化膜、第2のマスク204をシリコン酸化膜とした場合、第1ガスとして水素含有ガスを用い、第2ガスとしてフッ素含有ガスを用いることができる。この場合、第1ガスから生成した第1のプラズマにより、水素の活性種が第1のマスク203の表面に照射されることで、表面近傍が改質されて改質領域となる。この改質領域は、第2ガスから生成した第2のプラズマにおけるフッ素の活性種によって選択的にエッチングされて除去される。 For example, when the first mask 203 is a silicon nitride film and the second mask 204 is a silicon oxide film, a hydrogen-containing gas can be used as the first gas and a fluorine-containing gas can be used as the second gas. In this case, the surface of the first mask 203 is irradiated with active species of hydrogen by the first plasma generated from the first gas, so that the vicinity of the surface is modified to become a modified region. This modified region is selectively etched and removed by fluorine active species in the second plasma generated from the second gas.

他の例では、第1のマスク203をシリコン酸化膜、第2のマスク204をチタン窒化膜(TiN膜)とした場合、第1ガスとして窒素(N2)ガスを用い、第2ガスとしてNF3、O2、H2、Arの混合ガスや、CH3F、O2、H2、Arの混合ガスを用いることができる。また、さらに他の例では、第1のマスク203をシリコンカーバイド、第2のマスク204をシリコン窒化膜、ゲルマニウム含有膜、金属含有膜等とした場合、第1ガスとしてN2、NH3、NO、NO2等の窒素含有ガスを用い、第2ガスとしてNF3、SF6、CF4等のフッ素含有ガスを用いる。この場合の金属含有膜としては、チタン(Ti)、タングステン(W)、ハフニウム(Hf)、ジルコニウム(Zr)、タンタル(Ta)等の含有膜を用いる。 In another example, when the first mask 203 is a silicon oxide film and the second mask 204 is a titanium nitride film (TiN film), nitrogen (N2) gas is used as the first gas, NF3 as the second gas, A mixed gas of O2, H2 and Ar or a mixed gas of CH3F, O2, H2 and Ar can be used. In still another example, when the first mask 203 is made of silicon carbide and the second mask 204 is made of a silicon nitride film, a germanium-containing film, a metal-containing film, or the like, N2, NH3, NO, NO2 are used as the first gas. and a fluorine-containing gas such as NF3, SF6, CF4 is used as the second gas. As the metal-containing film in this case, a film containing titanium (Ti), tungsten (W), hafnium (Hf), zirconium (Zr), tantalum (Ta), or the like is used.

[ターゲットとするパターン構造]
図4は、本実施形態においてターゲットとするパターン構造の一例を示す図である。図4に示す基板200は、エッチング対象膜202のエッチング後においてターゲットとするパターン構造を示している。図4に示す基板200について、第2のマスク204の底部のCDであるボトムCD211と、第1のマスク203のボトムCD212と、エッチング対象膜202のバーCD213との関係は、ボトムCD211>ボトムCD212>バーCD213がターゲットとなる。なお、ボトムCD211、ボトムCD212およびバーCD213は、Bar-CDである。つまり、図2および図3のSpace-CDで表すと、ボトムCD2<ボトムCD1となる。
[Target pattern structure]
FIG. 4 is a diagram showing an example of a pattern structure targeted in this embodiment. The substrate 200 shown in FIG. 4 shows the target pattern structure after the etching of the film to be etched 202 . Regarding the substrate 200 shown in FIG. 4, the relationship between the bottom CD 211, which is the bottom CD of the second mask 204, the bottom CD 212 of the first mask 203, and the bar CD 213 of the film to be etched 202 is: Bottom CD 211>Bottom CD 212 > The bar CD213 becomes the target. Note that the bottom CD 211, the bottom CD 212 and the bar CD 213 are Bar-CDs. 2 and 3, bottom CD2<bottom CD1.

[実験結果]
次に、図5を用いて、本実施形態においてエッチング対象膜202をシリコン膜、第1のマスク203をシリコン窒化膜、第2のマスク204をシリコン酸化膜とした場合の実施例におけるボトムCD211、ボトムCD212およびバーCD213について説明する。なお、ボトムCD211、ボトムCD212およびバーCD213の位置は、図4の基板200と同様とする。また、図5では、実施例と合わせて、開口の底部の側壁がテーパ形状となっているマスク(例えば、図1の(B)に示すマスク113。)を用いた場合を比較例1,2として説明する。なお、バーCD213のターゲット値215は、40nmとしている。
[Experimental result]
Next, referring to FIG. 5, the bottom CD 211 in the example in which the etching target film 202 is a silicon film, the first mask 203 is a silicon nitride film, and the second mask 204 is a silicon oxide film in this embodiment. The bottom CD 212 and bar CD 213 will be explained. The positions of the bottom CD 211, the bottom CD 212 and the bar CD 213 are the same as those of the substrate 200 in FIG. In addition, in FIG. 5, in addition to the embodiment, the case of using a mask having a tapered sidewall at the bottom of the opening (for example, the mask 113 shown in FIG. 1B) is shown in Comparative Examples 1 and 2. described as. Note that the target value 215 of the bar CD 213 is 40 nm.

図5は、本実施形態における実施例と比較例との実験結果の一例を示す図である。図5に示すように、実施例では、第2のマスク204のボトムCD211は60nmであり、第1のマスク203のボトムCD212は48nmであり、エッチング対象膜202のバーCD213は47.5nmであった。つまり、ボトムCD211>ボトムCD212>バーCD213という関係が達成され、基板200上に形成されるパターンの垂直加工性を向上させることができ、当該パターンの形状異常を抑制できていることがわかる。 FIG. 5 is a diagram showing an example of experimental results of an example and a comparative example in the present embodiment. As shown in FIG. 5, in the embodiment, the bottom CD 211 of the second mask 204 is 60 nm, the bottom CD 212 of the first mask 203 is 48 nm, and the bar CD 213 of the film to be etched 202 is 47.5 nm. rice field. In other words, the relationship of bottom CD 211>bottom CD 212>bar CD 213 is achieved, the vertical workability of the pattern formed on the substrate 200 can be improved, and the abnormal shape of the pattern can be suppressed.

一方、比較例1では、ボトムCD211が85nmであり、ボトムCD212が50nmであり、バーCD213が65nmであった。比較例1では、バーCD213がボトムCD212よりも大きくなっており、パターンの垂直加工性が低下している。また、比較例2では、ボトムCD211が73nmであり、ボトムCD212が50nmであり、バーCD213が57.5nmであった。比較例2においても、バーCD213がボトムCD212よりも大きくなっており、パターンの垂直加工性が低下している。 On the other hand, in Comparative Example 1, the bottom CD211 was 85 nm, the bottom CD212 was 50 nm, and the bar CD213 was 65 nm. In Comparative Example 1, the bar CD213 is larger than the bottom CD212, and the vertical workability of the pattern is degraded. In Comparative Example 2, the bottom CD211 was 73 nm, the bottom CD212 was 50 nm, and the bar CD213 was 57.5 nm. Also in Comparative Example 2, the bar CD 213 is larger than the bottom CD 212, and the vertical workability of the pattern is degraded.

[基板処理装置の構成例]
図6は、本実施形態における基板処理装置の一例を示す図である。図6に示す基板処理装置10は、実施形態に係る基板処理方法を実現するために使用できる。図6に示す基板処理装置10は、いわゆる誘導結合型プラズマ(Inductively-coupled plasma:ICP)装置であり、誘導結合型プラズマを生成するためのプラズマ源を有する。ただし、実施形態に係る基板処理装置は、他の手法で生成されるプラズマを利用してもよい。例えば、実施形態に係る基板処理装置は、容量結合型プラズマ(CCP)、ECRプラズマ(electron-cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP)、または、表面波プラズマ(SWP)等を利用する装置であってもよい。
[Configuration example of substrate processing apparatus]
FIG. 6 is a diagram showing an example of a substrate processing apparatus according to this embodiment. A substrate processing apparatus 10 shown in FIG. 6 can be used to implement the substrate processing method according to the embodiment. A substrate processing apparatus 10 shown in FIG. 6 is a so-called inductively-coupled plasma (ICP) apparatus and has a plasma source for generating inductively-coupled plasma. However, the substrate processing apparatus according to the embodiment may use plasma generated by other methods. For example, the substrate processing apparatus according to the embodiment utilizes capacitively coupled plasma (CCP), ECR plasma (electron-cyclotron-resonance plasma), helicon wave excited plasma (HWP), or surface wave plasma (SWP). It may be a device.

基板処理装置10はチャンバ12を備える。チャンバ12は、アルミニウム等の金属で形成される。チャンバ12は、例えば略円筒形状である。チャンバ12内には、処理が実行される空間12cが設けられている。 A substrate processing apparatus 10 includes a chamber 12 . The chamber 12 is made of metal such as aluminum. The chamber 12 has, for example, a substantially cylindrical shape. A space 12c is provided in the chamber 12 in which processing is performed.

空間12cの下方には基板支持台14が配置されている。基板支持台14は、上に載置される基板Wを保持するよう構成されている。基板Wは、例えば一実施形態の方法により処理される基板である。 A substrate support 14 is arranged below the space 12c. The substrate support 14 is configured to hold a substrate W placed thereon. Substrate W is, for example, a substrate processed by the method of an embodiment.

基板支持台14は、支持機構13により支持可能である。支持機構13は、空間12c内でチャンバ12の底部から上方に向けて延在する。支持機構13は、略円筒形であってよい。支持機構13は、石英等の絶縁材料で構成できる。 The substrate support table 14 can be supported by the support mechanism 13 . The support mechanism 13 extends upward from the bottom of the chamber 12 within the space 12c. The support mechanism 13 may be generally cylindrical. The support mechanism 13 can be made of an insulating material such as quartz.

基板支持台14は、静電チャック16と下部電極18とを備える。下部電極18は、第1プレート18aと第2プレート18bとを含む。第1プレート18aおよび第2プレート18bは、アルミニウム等の金属で構成される。第1プレート18aおよび第2プレート18bは、例えば略円筒形である。第2プレート18bは、第1プレート18a上に配置される。第2プレート18bは、第1プレート18aと電気的に接続されている。 The substrate support table 14 has an electrostatic chuck 16 and a lower electrode 18 . The lower electrode 18 includes a first plate 18a and a second plate 18b. The first plate 18a and the second plate 18b are made of metal such as aluminum. The first plate 18a and the second plate 18b are, for example, substantially cylindrical. The second plate 18b is arranged on the first plate 18a. The second plate 18b is electrically connected to the first plate 18a.

静電チャック16は、第2プレート18b上に配置される。静電チャック16は、絶縁層と当該絶縁層内に配置される薄膜電極とを備える。静電チャック16の薄膜電極には、スイッチ23を介して直流電源22が電気的に接続されている。静電チャック16は、直流電源22の直流電圧から静電力を生成する。静電チャック16は、生成した静電力により基板Wを吸着保持する。 The electrostatic chuck 16 is arranged on the second plate 18b. The electrostatic chuck 16 includes an insulating layer and a thin film electrode disposed within the insulating layer. A DC power supply 22 is electrically connected to the thin film electrode of the electrostatic chuck 16 via a switch 23 . Electrostatic chuck 16 generates an electrostatic force from the DC voltage of DC power supply 22 . The electrostatic chuck 16 attracts and holds the substrate W by the generated electrostatic force.

基板処理装置10の動作時、基板Wと静電チャック16の外周を囲むように、エッジリングFRが第2プレート18bの上かつ第2プレート18bの周囲に配置される。エッジリングFRは、プロセスの均一性を高める役割を有する。エッジリングFRは、例えばシリコンで形成される。 During operation of the substrate processing apparatus 10 , an edge ring FR is arranged on and around the second plate 18 b so as to surround the substrate W and the electrostatic chuck 16 . The edge ring FR has a role of improving process uniformity. The edge ring FR is made of silicon, for example.

第2プレート18b内には、流路24が形成されている。流路24には、チャンバ12外部に配置される温度調節部(例えばチラーユニット)から温度制御のため冷媒等の熱交換媒体が供給される。温度調節部は、熱交換媒体の温度を調節する。熱交換媒体は、温度調節部からパイプ26aを通って流路24に供給される。温度調節部からパイプ26aを通り流路24に供給された熱交換媒体は、その後、パイプ26bを通って温度調節部に送り返される。熱交換媒体は、温度調節部による温度調節の後、基板支持台14内の流路24に戻される。このようにして、基板支持台14の温度、すなわち基板Wの温度を調節することができる。 A channel 24 is formed in the second plate 18b. A heat exchange medium such as a refrigerant is supplied to the flow path 24 for temperature control from a temperature control unit (for example, a chiller unit) arranged outside the chamber 12 . The temperature adjustment part adjusts the temperature of the heat exchange medium. A heat exchange medium is supplied from the temperature control section to the flow path 24 through the pipe 26a. The heat exchange medium supplied from the temperature control section to the flow path 24 through the pipe 26a is then sent back to the temperature control section through the pipe 26b. The heat exchange medium is returned to the flow path 24 inside the substrate support 14 after being temperature-controlled by the temperature control unit. In this manner, the temperature of the substrate support 14, that is, the temperature of the substrate W can be adjusted.

基板処理装置10は、さらに、基板支持台14の中を通って静電チャック16の上表面まで延びる気体供給ライン28を備える。静電チャック16の上表面と基板Wの下表面との間の空間には、熱交換ガス供給機構から気体供給ライン28を通って、ヘリウム(He)ガス等の熱交換ガスが供給される。こうして、基板支持台14と基板Wとの間での熱交換が促進される。 Substrate processing apparatus 10 further includes a gas supply line 28 that extends through substrate support 14 to the upper surface of electrostatic chuck 16 . A heat exchange gas such as helium (He) gas is supplied to the space between the upper surface of the electrostatic chuck 16 and the lower surface of the substrate W from a heat exchange gas supply mechanism through a gas supply line 28 . Thus, heat exchange between the substrate support table 14 and the substrate W is promoted.

また、ヒータHTが基板支持台14内に配置されてもよい。ヒータHTは、加熱装置である。ヒータHTは、例えば第2プレート18bまたは静電チャック16内に埋め込まれている。ヒータHTは、ヒータ電源HPに接続される。ヒータ電源HPがヒータHTに電力を供給することで、基板支持台14の温度ひいては基板Wの温度が調整される。 Also, the heater HT may be arranged in the substrate support table 14 . The heater HT is a heating device. The heater HT is embedded in the second plate 18b or the electrostatic chuck 16, for example. The heater HT is connected to a heater power supply HP. The temperature of the substrate support table 14 and thus the temperature of the substrate W are adjusted by the heater power source HP supplying power to the heater HT.

基板支持台14の下部電極18には、整合器32を介して高周波(RF)電源30が接続されている。RF電源30から下部電極18にRF電流を供給することができる。RF電源30は、RF電力を生成し、基板支持台14上に載置される基板Wにイオンを引き込む。つまり、RF電源30は、バイアス電圧となるRF電流を生成する。RF電源30が生成するRF電流の周波数は、例えば、400キロヘルツから40.68メガヘルツの範囲内である。一例では、RF電流の周波数は、13.56メガヘルツである。 A radio frequency (RF) power supply 30 is connected to the lower electrode 18 of the substrate support 14 via a matching box 32 . An RF current can be supplied to the lower electrode 18 from an RF power supply 30 . The RF power supply 30 generates RF power and draws ions into the substrate W mounted on the substrate support 14 . That is, the RF power supply 30 generates an RF current that becomes the bias voltage. The frequency of the RF current generated by RF power source 30 is, for example, in the range of 400 kilohertz to 40.68 megahertz. In one example, the frequency of the RF current is 13.56 megahertz.

基板処理装置10は、さらに、チャンバ12の内壁に着脱可能に取り付けられたシールド34を備える。シールド34はまた、支持機構13の外周を囲むように配置される。シールド34は、処理によって生成される副生成物のチャンバ12への付着を防止する。シールド34は、Y2O3等のセラミックスでコーティングされたアルミニウム部材であってもよい。 The substrate processing apparatus 10 further includes a shield 34 detachably attached to the inner wall of the chamber 12 . The shield 34 is also arranged to surround the outer circumference of the support mechanism 13 . Shield 34 prevents by-products produced by processing from adhering to chamber 12 . The shield 34 may be an aluminum member coated with ceramics such as Y2O3.

基板支持台14とチャンバ12の側壁との間には、排気路が形成されている。排気路は、チャンバ12の底部に形成された排気口12eに接続されている。排気口12eは、パイプ36を介して排気装置38に接続されている。排気装置38は、圧力調整部と、ターボ分子ポンプ(TMP)等の真空ポンプと、を含む。バッフル板40は、排気路内、すなわち、基板支持台14とチャンバ12の側壁との間に配置される。バッフル板40は、厚さ方向にバッフル板40を貫通する複数の貫通穴を有する。バッフル板40は、Y2O3等のセラミックスで表面がコーティングされたアルミニウム部材であってもよい。 An exhaust path is formed between the substrate support 14 and the side wall of the chamber 12 . The exhaust path is connected to an exhaust port 12 e formed at the bottom of the chamber 12 . The exhaust port 12 e is connected to an exhaust device 38 via a pipe 36 . The evacuation device 38 includes a pressure regulator and a vacuum pump such as a turbomolecular pump (TMP). A baffle plate 40 is positioned within the exhaust path, ie, between the substrate support 14 and the side walls of the chamber 12 . The baffle plate 40 has a plurality of through holes penetrating through the baffle plate 40 in the thickness direction. The baffle plate 40 may be an aluminum member whose surface is coated with ceramics such as Y2O3.

チャンバ12の上側には、開口が形成されている。開口は、ウィンドウ42によって閉鎖される。ウィンドウ42は、石英等の誘電体で形成される。ウィンドウ42は、例えば平らな板である。 An opening is formed in the upper side of the chamber 12 . The opening is closed by window 42 . Window 42 is formed of a dielectric such as quartz. Window 42 is, for example, a flat plate.

チャンバ12の側壁には、吸気口12iが形成されている。吸気口12iは、パイプ46を介して気体供給部44に接続されている。気体供給部44は、処理に使用される種々のガスを空間12cに供給する。気体供給部44は、複数のガス源44a、複数のフローコントローラ44b、および複数のバルブ44cを備える。図6には明示していないが、供給するガス毎に異なる複数の吸気口を設けて、ガスが混じり合わないようにしてもよい。 A side wall of the chamber 12 is formed with an intake port 12i. The intake port 12 i is connected to the gas supply section 44 via a pipe 46 . The gas supply unit 44 supplies various gases used for processing to the space 12c. The gas supply unit 44 includes multiple gas sources 44a, multiple flow controllers 44b, and multiple valves 44c. Although not explicitly shown in FIG. 6, a plurality of different inlets may be provided for each gas to be supplied so that the gases do not mix.

複数のガス源44aは、後述する種々のガスのガス源を含む。1のガス源が1以上のガスを供給してもよい。複数のフローコントローラ44bは、マスフローコントローラ(MFC)であってもよく、フローコントローラ44bは、圧力制御により流量制御を実現する。複数のガス源44aに含まれる各ガス源は、複数のフローコントローラ44bのうち対応する一つのフローコントローラおよび複数のバルブ44cのうち対応する一つのバルブを介して吸気口12iに接続されている。吸気口12iの位置は、特に限定されない。例えば、吸気口12iは、チャンバ12の側壁ではなくウィンドウ42内に形成されてもよい。 The plurality of gas sources 44a includes gas sources of various gases described below. One gas source may supply one or more gases. The plurality of flow controllers 44b may be mass flow controllers (MFC), and the flow controllers 44b achieve flow control by pressure control. Each gas source included in the plurality of gas sources 44a is connected to the intake port 12i via one corresponding flow controller among the plurality of flow controllers 44b and one corresponding valve among the plurality of valves 44c. The position of the intake port 12i is not particularly limited. For example, inlet 12 i may be formed in window 42 rather than in the sidewall of chamber 12 .

チャンバ12の側壁内には、開口12pが形成されている。開口12pは、外部からチャンバ12の空間12cに搬入され、空間12c内からチャンバ12の外へと搬出される基板Wの搬入出経路となる。チャンバ12の側壁上には、ゲートバルブ48が設けられ、開口12pを開放および閉塞可能となっている。 An opening 12p is formed in the side wall of the chamber 12 . The opening 12p serves as a loading/unloading path for the substrate W loaded into the space 12c of the chamber 12 from the outside and transported out of the chamber 12 from the space 12c. A gate valve 48 is provided on the side wall of the chamber 12 to open and close the opening 12p.

チャンバ12およびウィンドウ42上には、アンテナ50とアンテナ50を覆うシールド60が配置されている。アンテナ50およびシールド60は、チャンバ12の外側であって、ウィンドウ42の上部に配置される。一実施形態においては、アンテナ50は、内側アンテナ素子52Aと外側アンテナ素子52Bとを含む。内側アンテナ素子52Aは、ウィンドウ42の中央に配置されるスパイラルコイルである。外側アンテナ素子52Bは、ウィンドウ42上かつ内側アンテナ素子52Aの外周側に配置されるスパイラルコイルである。内側アンテナ素子52Aおよび外側アンテナ素子52Bは、各々、銅、アルミニウム、ステンレススチール等の導電性材料で構成される。 An antenna 50 and a shield 60 covering the antenna 50 are placed over the chamber 12 and the window 42 . Antenna 50 and shield 60 are positioned outside chamber 12 and above window 42 . In one embodiment, antenna 50 includes an inner antenna element 52A and an outer antenna element 52B. The inner antenna element 52A is a spiral coil placed in the center of the window 42 . The outer antenna element 52B is a spiral coil arranged on the window 42 and on the outer peripheral side of the inner antenna element 52A. Inner antenna element 52A and outer antenna element 52B are each constructed of a conductive material such as copper, aluminum, stainless steel, or the like.

内側アンテナ素子52Aおよび外側アンテナ素子52Bは、RF電源70AおよびRF電源70Bにそれぞれ接続されている。内側アンテナ素子52Aおよび外側アンテナ素子52Bは、RF電源70AおよびRF電源70Bからそれぞれ、同一または異なる周波数の電力供給を受ける。RF電力がRF電源70Aからアンテナ50に供給されると、誘導磁界が空間12c内に発生し、空間12c内の処理ガスを励起して基板Wの上方にプラズマを発生させる。 Inner antenna element 52A and outer antenna element 52B are connected to RF power supply 70A and RF power supply 70B, respectively. Inner antenna element 52A and outer antenna element 52B are powered at the same or different frequencies from RF power source 70A and RF power source 70B, respectively. When RF power is supplied from RF power supply 70A to antenna 50, an induced magnetic field is generated within space 12c that excites the process gas within space 12c to generate a plasma above substrate W. FIG.

基板処理装置10は、さらにコントローラ80を備える。コントローラ80は、プロセッサ、メモリ等の記憶部、入力部、ディスプレイ等を備える計算装置であってもよい。コントローラ80は、記憶部に記憶された制御プログラムやレシピデータに基づき動作し、基板処理装置10の各部を制御する。例えば、コントローラ80は、複数のフローコントローラ44b、複数のバルブ44c、排気装置38、RF電源70A,70B、RF電源30、整合器32、ヒータ電源HP等を制御する。コントローラ80は、実施形態に係る基板処理方法を実現するとき、かかる制御プログラムやレシピデータに基づき基板処理装置10の各部を制御してもよい。 The substrate processing apparatus 10 further includes a controller 80 . The controller 80 may be a computing device including a processor, a storage unit such as memory, an input unit, a display, and the like. The controller 80 operates based on the control program and recipe data stored in the storage section, and controls each section of the substrate processing apparatus 10 . For example, the controller 80 controls the plurality of flow controllers 44b, the plurality of valves 44c, the exhaust device 38, the RF power sources 70A and 70B, the RF power source 30, the matching box 32, the heater power source HP, and the like. When implementing the substrate processing method according to the embodiment, the controller 80 may control each part of the substrate processing apparatus 10 based on such control programs and recipe data.

[効果]
以上、本実施形態によれば、基板処理方法は、a)エッチング対象膜202と、エッチング対象膜202上に形成された第1のマスク203と、第1のマスク203上に形成され、第1のマスク203と膜種が異なり、開口を有する第2のマスク204とを備える基板200を提供する工程と、b)第2のマスク204に対して選択的に第1のマスク203をエッチングし、第1のマスク203の少なくとも一部の開口寸法(ボトムCD1)が第2のマスク204の底部の開口寸法(ボトムCD2)よりも大きい開口を形成する工程と、c)エッチング対象膜202をエッチングする工程とを有する。その結果、基板200上に形成されるパターンの垂直加工性を向上させて、当該パターンの形状異常を抑制することができる。
[effect]
As described above, according to the present embodiment, the substrate processing method includes: a) the etching target film 202, the first mask 203 formed on the etching target film 202, and the first mask 203 formed on the first mask 203; b) etching the first mask 203 selectively with respect to the second mask 204; c) etching the etching target film 202; and a step. As a result, it is possible to improve the vertical workability of the pattern formed on the substrate 200 and suppress the shape abnormality of the pattern.

また、本実施形態によれば、b)は、第1のマスクの底部の開口寸法が、第2のマスクの底部の開口寸法よりも大きくなるように、第1のマスクをエッチングする。その結果、基板200上に形成されるパターンの垂直加工性を向上させて、当該パターンの形状異常を抑制することができる。 Also according to this embodiment, b) etches the first mask such that the opening dimensions at the bottom of the first mask are larger than the opening dimensions at the bottom of the second mask. As a result, it is possible to improve the vertical workability of the pattern formed on the substrate 200 and suppress the shape abnormality of the pattern.

また、本実施形態によれば、第1のマスクの開口は、逆テーパ形状である。その結果、基板200上に形成されるパターンの垂直加工性を向上させることができる。 Further, according to the present embodiment, the opening of the first mask has a reverse tapered shape. As a result, the vertical processability of patterns formed on the substrate 200 can be improved.

また、本実施形態によれば、第1のマスクは、シリコン含有膜、有機膜または金属含有膜である。その結果、基板200上に形成されるパターンの垂直加工性を向上させて、当該パターンの形状異常を抑制することができる。 Also, according to this embodiment, the first mask is a silicon-containing film, an organic film, or a metal-containing film. As a result, it is possible to improve the vertical workability of the pattern formed on the substrate 200 and suppress the shape abnormality of the pattern.

また、本実施形態によれば、第1のマスク203は、シリコン含有膜であり、第2のマスク204は、第1のマスク203と異なるシリコン含有膜、有機膜または金属含有膜である。その結果、第1のマスク203を逆テーパ形状にエッチングできる。 Also, according to this embodiment, the first mask 203 is a silicon-containing film, and the second mask 204 is a silicon-containing film, an organic film, or a metal-containing film different from the first mask 203 . As a result, the first mask 203 can be etched into a reverse tapered shape.

また、本実施形態によれば、第1のマスク203は、シリコン窒化膜、シリコン酸化膜またはシリコンカーバイド膜であり、第2のマスク204は、第1のマスクと異なるシリコン含有膜である。その結果、第1のマスク203を逆テーパ形状にエッチングできる。 Also, according to this embodiment, the first mask 203 is a silicon nitride film, a silicon oxide film, or a silicon carbide film, and the second mask 204 is a silicon-containing film different from the first mask. As a result, the first mask 203 can be etched into a reverse tapered shape.

また、本実施形態によれば、b)は、b-1)第1ガスから生成した第1のプラズマにより、第1のマスク203の一部を改質して改質領域を形成する工程と、b-2)第2ガスから生成した第2のプラズマにより、改質領域を除去する工程と、を含むシーケンスを1回以上実行する。その結果、イオン改質ALEにより第1のマスク203を逆テーパ形状にエッチングできる。 Further, according to the present embodiment, b) includes b-1) the step of modifying a portion of the first mask 203 with the first plasma generated from the first gas to form a modified region. , b-2) removing the modified region with a second plasma generated from the second gas one or more times. As a result, the first mask 203 can be etched into a reverse tapered shape by ion-modifying ALE.

また、本実施形態によれば、第1のマスクは、シリコン含有膜または金属含有膜であり、第1ガスは、ヘリウムガス、水素含有ガスまたは窒素含有ガスであり、第2ガスは、フッ素含有ガスである。その結果、イオン改質ALEにより第1のマスク203を逆テーパ形状にエッチングできる。 Further, according to this embodiment, the first mask is a silicon-containing film or a metal-containing film, the first gas is a helium gas, a hydrogen-containing gas, or a nitrogen-containing gas, and the second gas is a fluorine-containing is gas. As a result, the first mask 203 can be etched into a reverse tapered shape by ion-modifying ALE.

また、本実施形態によれば、水素含有ガスは、H2ガス、D2ガスおよびNH3ガスの群から選択される少なくとも1種であり、フッ素含有ガスは、NF3ガス、SF6ガス、フルオロカーボンガスの群から選択される少なくとも1種である。その結果、イオン改質ALEにより第1のマスク203を逆テーパ形状にエッチングできる。 Further, according to the present embodiment, the hydrogen-containing gas is at least one selected from the group consisting of H2 gas, D2 gas and NH3 gas, and the fluorine-containing gas is selected from the group consisting of NF3 gas, SF6 gas and fluorocarbon gas. At least one selected. As a result, the first mask 203 can be etched into a reverse tapered shape by ion-modifying ALE.

また、本実施形態によれば、第2ガスは、酸素含有ガスをさらに含む。その結果、イオン改質ALEにより第1のマスク203を逆テーパ形状にエッチングできる。 Moreover, according to this embodiment, the second gas further includes an oxygen-containing gas. As a result, the first mask 203 can be etched into a reverse tapered shape by ion-modifying ALE.

また、本実施形態によれば、酸素含有ガスは、O2ガス、CO2ガスおよびCOガスの群から選択される少なくとも1種である。その結果、イオン改質ALEにより第1のマスク203を逆テーパ形状にエッチングできる。 Further, according to this embodiment, the oxygen-containing gas is at least one selected from the group consisting of O2 gas, CO2 gas and CO gas. As a result, the first mask 203 can be etched into a reverse tapered shape by ion-modifying ALE.

また、本実施形態によれば、b)は、エッチングガスを用いて、第1のマスクを等方的にエッチングする工程である。その結果、第1のマスク203を逆テーパ形状にエッチングできる。 Further, according to the present embodiment, b) is a step of isotropically etching the first mask using an etching gas. As a result, the first mask 203 can be etched into a reverse tapered shape.

また、本実施形態によれば、a)は、a-1)エッチング対象膜と、第1のマスクと、第1のマスク上に形成され、第1のマスクと膜種が異なる第2のマスクと、第2のマスク上に形成された開口を有する第3のマスクとを有する基板を提供する工程と、a-2)第3のマスクを用いて、第2のマスクに開口を形成する工程と、を含む。その結果、基板200上に形成されるパターンの垂直加工性を向上させて、当該パターンの形状異常を抑制することができる。 Further, according to the present embodiment, a) is a-1) a film to be etched, a first mask, and a second mask formed on the first mask and having a film type different from that of the first mask. and a third mask having openings formed on the second mask; and a-2) forming openings in the second mask using the third mask. and including. As a result, it is possible to improve the vertical workability of the pattern formed on the substrate 200 and suppress the shape abnormality of the pattern.

今回開示された実施形態は、すべての点で例示であって、制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲およびその主旨を逸脱することなく、様々な形体で省略、置換、変更されてもよい。 The embodiments disclosed this time should be considered illustrative in all respects and not restrictive. The above-described embodiments may be omitted, substituted, or modified in various ways without departing from the scope and spirit of the appended claims.

また、上記した実施形態では、イオン改質ALEにより第1のマスク203をエッチングする形態について説明したが、これに限定されない。例えば、ALD(Atomic Layer Deposition)、サブコンフォーマルALD、CVD(Chemical Vapor Deposition)等によるパターン上へのマスク形成と、等方性エッチングとを組み合わせて第1のマスク203を逆テーパ形状にエッチングするようにしてもよい。 Further, in the above-described embodiment, the mode in which the first mask 203 is etched by ion-modified ALE has been described, but the present invention is not limited to this. For example, mask formation on a pattern by ALD (Atomic Layer Deposition), sub-conformal ALD, CVD (Chemical Vapor Deposition), etc. may be combined with isotropic etching to etch the first mask 203 into an inverse tapered shape. can be

10 基板処理装置
200 基板
201 下地膜
202 エッチング対象膜
203 第1のマスク
204 第2のマスク
205 開口
206 底部
207 上面
211,212 ボトムCD
213 バーCD
REFERENCE SIGNS LIST 10 substrate processing apparatus 200 substrate 201 base film 202 etching target film 203 first mask 204 second mask 205 opening 206 bottom 207 upper surface 211, 212 bottom CD
213 bar CD

Claims (14)

a)エッチング対象膜と、前記エッチング対象膜上に形成された第1のマスクと、前記第1のマスク上に形成され、前記第1のマスクと膜種が異なり、開口を有する第2のマスクとを備える基板を提供する工程と、
b)前記第2のマスクに対して選択的に前記第1のマスクをエッチングし、前記第1のマスクの少なくとも一部の開口寸法が前記第2のマスクの底部の開口寸法よりも大きい開口を形成する工程と、
c)前記エッチング対象膜をエッチングする工程と、
を有する基板処理方法。
a) a film to be etched, a first mask formed on the film to be etched, and a second mask formed on the first mask and having a film type different from that of the first mask and having an opening providing a substrate comprising
b) etching said first mask selectively with respect to said second mask to form openings in which at least some of the opening dimensions in said first mask are larger than the opening dimensions at the bottom of said second mask; forming;
c) etching the film to be etched;
A substrate processing method comprising:
前記b)は、前記第1のマスクの底部の開口寸法が、前記第2のマスクの底部の開口寸法よりも大きくなるように、前記第1のマスクをエッチングする、
請求項1に記載の基板処理方法。
b) etches the first mask such that the opening dimension of the bottom of the first mask is larger than the opening dimension of the bottom of the second mask;
The substrate processing method according to claim 1.
前記第1のマスクの開口は、逆テーパ形状である、
請求項2に記載の基板処理方法。
The opening of the first mask has an inverse tapered shape,
The substrate processing method according to claim 2.
前記第1のマスクは、シリコン含有膜、有機膜または金属含有膜である、
請求項1~3のいずれか1つに記載の基板処理方法。
wherein the first mask is a silicon-containing film, an organic film or a metal-containing film;
The substrate processing method according to any one of claims 1 to 3.
前記第1のマスクは、シリコン含有膜であり、
前記第2のマスクは、前記第1のマスクと異なるシリコン含有膜、有機膜または金属含有膜である、
請求項1~3のいずれか1つに記載の基板処理方法。
the first mask is a silicon-containing film;
wherein the second mask is a silicon-containing film, an organic film, or a metal-containing film different from the first mask;
The substrate processing method according to any one of claims 1 to 3.
前記第1のマスクは、シリコン窒化膜、シリコン酸化膜またはシリコンカーバイド膜であり、
前記第2のマスクは、前記第1のマスクと異なるシリコン含有膜である、
請求項1~3のいずれか1つに記載の基板処理方法。
the first mask is a silicon nitride film, a silicon oxide film or a silicon carbide film;
wherein the second mask is a silicon-containing film different from the first mask;
The substrate processing method according to any one of claims 1 to 3.
前記b)は、
b-1)第1ガスから生成した第1のプラズマにより、前記第1のマスクの一部を改質して改質領域を形成する工程と、
b-2)第2ガスから生成した第2のプラズマにより、前記改質領域を除去する工程と、
を含むシーケンスを1回以上実行する、
請求項1~6のいずれか1つに記載の基板処理方法。
b) above is
b-1) reforming a portion of the first mask with a first plasma generated from a first gas to form a modified region;
b-2) removing the modified region with a second plasma generated from a second gas;
Execute one or more sequences containing
The substrate processing method according to any one of claims 1 to 6.
前記第1のマスクは、シリコン含有膜または金属含有膜であり、
前記第1ガスは、ヘリウムガス、水素含有ガスまたは窒素含有ガスであり、
前記第2ガスは、フッ素含有ガスである、
請求項7に記載の基板処理方法。
the first mask is a silicon-containing film or a metal-containing film;
the first gas is helium gas, hydrogen-containing gas or nitrogen-containing gas;
The second gas is a fluorine-containing gas,
The substrate processing method according to claim 7.
前記水素含有ガスは、H2ガス、D2ガスおよびNH3ガスの群から選択される少なくとも1種であり、
前記フッ素含有ガスは、NF3ガス、SF6ガス、フルオロカーボンガスの群から選択される少なくとも1種である、
請求項8に記載の基板処理方法。
The hydrogen-containing gas is at least one selected from the group consisting of H2 gas, D2 gas and NH3 gas,
The fluorine-containing gas is at least one selected from the group consisting of NF3 gas, SF6 gas, and fluorocarbon gas.
The substrate processing method according to claim 8.
前記第2ガスは、酸素含有ガスをさらに含む、
請求項7~9のいずれか1つに記載の基板処理方法。
the second gas further comprises an oxygen-containing gas;
The substrate processing method according to any one of claims 7-9.
前記酸素含有ガスは、O2ガス、CO2ガスおよびCOガスの群から選択される少なくとも1種である、
請求項10に記載の基板処理方法。
The oxygen-containing gas is at least one selected from the group consisting of O gas, CO gas and CO gas.
The substrate processing method according to claim 10.
前記b)は、エッチングガスを用いて、前記第1のマスクを等方的にエッチングする工程である、
請求項1~6のいずれか1つに記載の基板処理方法。
b) is a step of isotropically etching the first mask using an etching gas;
The substrate processing method according to any one of claims 1 to 6.
前記a)は、
a-1)前記エッチング対象膜と、前記第1のマスクと、前記第1のマスク上に形成され、前記第1のマスクと膜種が異なる第2のマスクと、前記第2のマスク上に形成された開口を有する第3のマスクとを有する基板を提供する工程と、
a-2)前記第3のマスクを用いて、前記第2のマスクに前記開口を形成する工程と、を含む、
請求項1~12のいずれか1つに記載の基板処理方法。
The above a) is
a-1) the film to be etched, the first mask, a second mask formed on the first mask and having a film type different from that of the first mask, and on the second mask providing a substrate having a third mask with openings formed therein;
a-2) forming the opening in the second mask using the third mask;
The substrate processing method according to any one of claims 1 to 12.
基板処理装置であって、
チャンバと、
前記チャンバの内部に設けられる基板支持台と、
前記チャンバの内部への処理ガスの供給を受け付ける吸気口と、
プラズマ生成部と、
制御部と、を有し、
前記制御部は、a)エッチング対象膜と、前記エッチング対象膜上に形成された第1のマスクと、前記第1のマスク上に形成され、前記第1のマスクと膜種が異なり、開口を有する第2のマスクとを備える基板を提供するよう前記基板処理装置を制御するように構成され、
前記制御部は、b)前記第2のマスクに対して選択的に前記第1のマスクをエッチングし、前記第1のマスクの少なくとも一部の開口寸法が前記第2のマスクの底部の開口寸法よりも大きい開口を形成するよう前記基板処理装置を制御するように構成され、
前記制御部は、c)前記エッチング対象膜をエッチングするよう前記基板処理装置を制御するように構成される、
基板処理装置。
A substrate processing apparatus,
a chamber;
a substrate support provided inside the chamber;
an inlet for receiving supply of process gas to the interior of the chamber;
a plasma generator;
a control unit;
a) a film to be etched; a first mask formed on the film to be etched; configured to control the substrate processing apparatus to provide a substrate comprising a second mask comprising
The control unit b) selectively etches the first mask with respect to the second mask, and the opening dimension of at least a part of the first mask is the opening dimension of the bottom of the second mask. configured to control the substrate processing apparatus to form an opening larger than
the control unit is configured to c) control the substrate processing apparatus to etch the etch target film;
Substrate processing equipment.
JP2021013072A 2021-01-29 2021-01-29 Substrate processing method and substrate processing apparatus Pending JP2022116742A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2021013072A JP2022116742A (en) 2021-01-29 2021-01-29 Substrate processing method and substrate processing apparatus
TW111102021A TW202236370A (en) 2021-01-29 2022-01-18 Substrate processing method and substrate processing apparatus
KR1020220009298A KR20220110096A (en) 2021-01-29 2022-01-21 Substrate processing method and substrate processing apparatus
CN202210071088.0A CN114823329A (en) 2021-01-29 2022-01-21 Substrate processing method and substrate processing apparatus
US17/586,251 US20220246440A1 (en) 2021-01-29 2022-01-27 Substrate processing method and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2021013072A JP2022116742A (en) 2021-01-29 2021-01-29 Substrate processing method and substrate processing apparatus

Publications (1)

Publication Number Publication Date
JP2022116742A true JP2022116742A (en) 2022-08-10

Family

ID=82527047

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021013072A Pending JP2022116742A (en) 2021-01-29 2021-01-29 Substrate processing method and substrate processing apparatus

Country Status (5)

Country Link
US (1) US20220246440A1 (en)
JP (1) JP2022116742A (en)
KR (1) KR20220110096A (en)
CN (1) CN114823329A (en)
TW (1) TW202236370A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7030858B2 (en) * 2020-01-06 2022-03-07 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009081420A (en) * 2007-09-07 2009-04-16 Nec Electronics Corp Method of manufacturing semiconductor device
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP7365895B2 (en) * 2019-12-25 2023-10-20 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
CN114823329A (en) 2022-07-29
KR20220110096A (en) 2022-08-05
US20220246440A1 (en) 2022-08-04
TW202236370A (en) 2022-09-16

Similar Documents

Publication Publication Date Title
US10566209B2 (en) Etching method and workpiece processing method
US20200035496A1 (en) Plasma processing apparatus and plasma processing method
US10923360B2 (en) Method of etching film and plasma processing apparatus
KR102617192B1 (en) Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
JP7336365B2 (en) METHOD AND PLASMA PROCESSING APPARATUS FOR ETCHING FILM
US20210202233A1 (en) Substrate processing method and substrate processing apparatus
JP6592400B2 (en) Etching method
JP6606464B2 (en) Etching method
TW202226378A (en) Selective anisotropic metal etch
JP7323330B2 (en) Substrate processing method and substrate processing apparatus
US10811274B2 (en) Etching method and plasma processing apparatus
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
JP2022034956A (en) Etching method and plasma processing apparatus
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
US12009219B2 (en) Substrate processing method
US11404282B2 (en) Method of etching film and plasma processing apparatus
JP7123287B1 (en) ETCHING METHOD, PLASMA PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM, AND PROGRAM
US11201063B2 (en) Substrate processing method and substrate processing apparatus
US20210375635A1 (en) Etching method and plasma processing apparatus
US20200279753A1 (en) Substrate processing method and substrate processing apparatus
TW202326865A (en) Recessed metal etching methods

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231011