KR102190863B1 - Substrate processing apparatus and gas introduction plate - Google Patents

Substrate processing apparatus and gas introduction plate Download PDF

Info

Publication number
KR102190863B1
KR102190863B1 KR1020200036070A KR20200036070A KR102190863B1 KR 102190863 B1 KR102190863 B1 KR 102190863B1 KR 1020200036070 A KR1020200036070 A KR 1020200036070A KR 20200036070 A KR20200036070 A KR 20200036070A KR 102190863 B1 KR102190863 B1 KR 102190863B1
Authority
KR
South Korea
Prior art keywords
gas
flow path
region
substrate processing
gas introduction
Prior art date
Application number
KR1020200036070A
Other languages
Korean (ko)
Other versions
KR20200035925A (en
Inventor
히로유키 오가와
도모야 오쿠보
아키타카 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200035925A publication Critical patent/KR20200035925A/en
Application granted granted Critical
Publication of KR102190863B1 publication Critical patent/KR102190863B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

처리 용기 내의 탑재대에 기판을 탑재하고, 가스를 공급해서 기판을 처리하는 기판 처리 장치로서, 기판 처리 장치는, 상기 탑재대에 대향해서 마련되고, 기판이 배치되는 처리 공간과 제 1 가스가 확산하는 확산 공간의 사이에 마련된 구획부와, 상기 확산 공간에 상기 제 1 가스를 공급하기 위한 제 1 가스 공급부와, 상기 구획부를 두께 방향으로 관통해서 형성되고, 상기 확산 공간에 확산한 제 1 가스를 상기 처리 공간에 토출시키기 위한 복수의 제 1 가스 토출 구멍과, 상기 구획부에 있어서의 상기 처리 공간측의 가스 토출면으로 개구하는 복수의 제 2 가스 토출 구멍을 포함한다. 상기 제 2 가스 공급부는 상기 제 1 가스와는 독립해서 제 2 가스를, 상기 처리 공간에 있어서 횡 방향으로 배열된 복수의 영역에 각각 독립해서 공급한다. A substrate processing apparatus that mounts a substrate on a mounting table in a processing container and processes a substrate by supplying gas, wherein the substrate processing apparatus is provided opposite to the mounting table, and a processing space in which the substrate is placed and a first gas diffuse A partition portion provided between the diffusion space to be formed, a first gas supply portion for supplying the first gas to the diffusion space, and a first gas formed passing through the partition portion in the thickness direction, and diffused into the diffusion space. And a plurality of first gas discharge holes for discharging into the processing space, and a plurality of second gas discharge holes opening to a gas discharge surface on the side of the processing space in the partition portion. The second gas supply unit independently supplies the second gas to a plurality of regions arranged in the transverse direction in the processing space, independently of the first gas.

Figure R1020200036070
Figure R1020200036070

Description

기판 처리 장치 및 가스 도입판{SUBSTRATE PROCESSING APPARATUS AND GAS INTRODUCTION PLATE}Substrate processing apparatus and gas introduction plate {SUBSTRATE PROCESSING APPARATUS AND GAS INTRODUCTION PLATE}

본 발명은 처리 용기 내에 탑재된 기판에 대하여 가스를 공급하여 처리를 행하는 기술에 관한 것이다.The present invention relates to a technology for performing processing by supplying a gas to a substrate mounted in a processing container.

반도체 제조 프로세스의 하나로서, 반응 가스를 플라즈마화해서 에칭, 성막 처리 등을 행하는 플라즈마 처리가 있다. 이러한 플라즈마 처리 장치로서는, 특허문헌 1에 기재되어 있는 바와 같이, 처리 용기 내에 있어서, 처리 용기의 상부 측으로 처리 가스를 여기해서 플라즈마화하고, 이온 트랩부를 통과시킨 라디칼을 기판에 공급하는 플라즈마 처리 장치가 알려져 있다.As one of the semiconductor manufacturing processes, there is a plasma treatment in which a reactive gas is converted into plasma to perform etching, film formation, and the like. As such a plasma processing apparatus, as described in Patent Document 1, in the processing vessel, a plasma processing apparatus which excites a processing gas to the upper side of the processing vessel to form a plasma, and supplies radicals passed through the ion trap portion to the substrate. Is known.

플라즈마 처리에 있어서, 처리 용기 내에서 처리 가스를 여기할 때에, 예를 들면 안테나에 고주파 전력을 공급하고, 처리 용기 내에 유도 전계를 발생시키고, 처리 용기 내에 공급된 처리 가스를 여기시키고, 반도체 웨이퍼(이하 「웨이퍼」라고 한다)에 공급하는 방법이 있다. 그렇지만 공간 내에 있어서 처리 가스를 여기하기 위한 유도 전계가 균일하지 않기 때문에, 플라즈마의 분포에 대해서도 불균일하게 되기 쉽다. 또한, 플라즈마의 분포는 자장이나 전계의 영향을 받기 쉽고, 그 밀도의 조정이 어려운 문제가 있다. 그 때문에 웨이퍼에 공급되는 라디칼의 면내 분포에 대해 양호한 균일성을 얻는 것이 곤란했다. 근래에는, 웨이퍼에 형성되는 회로 패턴의 미세화에 따라, 웨이퍼의 처리의 면내 균일성에 대해 보다 한층 높은 정밀도가 요구되고 있고, 이 때문에 처리 모듈에 있어서 기판에 대한 처리의 면내 분포를 조정하는 기술이 요구되고 있었다.In plasma processing, when excitation of a processing gas in a processing container, for example, a high-frequency power is supplied to an antenna, an induced electric field is generated in the processing container, and the processing gas supplied in the processing container is excited, and a semiconductor wafer ( Hereinafter, there is a method of supplying to a "wafer"). However, since the induced electric field for exciting the processing gas in the space is not uniform, the distribution of the plasma tends to be uneven. In addition, the distribution of plasma is susceptible to the influence of a magnetic field or an electric field, and it is difficult to adjust the density. Therefore, it was difficult to obtain good uniformity with respect to the in-plane distribution of radicals supplied to the wafer. In recent years, with the miniaturization of circuit patterns formed on wafers, higher precision is required for the in-plane uniformity of wafer processing, and for this reason, a technique for adjusting the in-plane distribution of processing on the substrate is required in the processing module. Was becoming.

특허문헌 2에는, 웨이퍼(W)의 주연부에 부가 가스를 공급해서, 가스의 농도를 조정하고, 웨이퍼(W)의 면내 균일성을 조정하는 기술이 기재되어 있지만, 웨이퍼(W)의 중심 측에 부가 가스를 공급할 수 없는 문제가 있다. 또 처리 가스를 플라즈마화해서, 웨이퍼에 공급하는 예에 대해서는 고려되어 있지 않다.Patent Document 2 describes a technique of supplying an additional gas to the periphery of the wafer W, adjusting the concentration of the gas, and adjusting the in-plane uniformity of the wafer W, but on the center side of the wafer W There is a problem that the additional gas cannot be supplied. In addition, an example in which the processing gas is converted into plasma and supplied to the wafer is not considered.

일본 공개 특허 공보 제 2006-324023 호Japanese Laid-Open Patent Publication No. 2006-324023 일본 특허 제 5192214 호Japanese Patent No. 5192214

본 발명은 이러한 사정에 근거해서 이루어진 것이고, 그 목적은 처리 용기 내에 탑재된 기판에 가스를 공급할 때에, 가스의 농도의 면내 분포를 조정할 수 있는 기술을 제공하는 것에 있다.The present invention has been made based on such circumstances, and its object is to provide a technique capable of adjusting the in-plane distribution of the gas concentration when supplying gas to a substrate mounted in a processing container.

본 발명의 기판 처리 장치는 처리 용기 내의 탑재대에 기판을 탑재하고, 가스를 공급해서 기판을 처리하는 기판 처리 장치로서, The substrate processing apparatus of the present invention is a substrate processing apparatus that mounts a substrate on a mounting table in a processing container and supplies a gas to process the substrate,

상기 탑재대에 대향해서 마련되고, 기판이 배치되는 처리 공간과 제 1 가스가 확산하는 확산 공간의 사이에 마련된 구획부와, A partition portion provided opposite to the mounting table and provided between a processing space in which a substrate is disposed and a diffusion space in which the first gas diffuses,

상기 확산 공간에 상기 제 1 가스를 공급하기 위한 제 1 가스 공급부와, A first gas supply unit for supplying the first gas to the diffusion space,

상기 구획부를 두께 방향으로 관통해서 형성되고, 상기 확산 공간으로 확산한 제 1 가스를 상기 처리 공간으로 토출시키기 위한 복수의 제 1 가스 토출 구멍과, A plurality of first gas discharge holes formed to penetrate the partition portion in the thickness direction and for discharging the first gas diffused into the diffusion space to the processing space;

상기 구획부에 있어서의 상기 처리 공간측의 가스 토출면으로 개구하는 복수의 제 2 가스 토출 구멍을 포함하고, 상기 제 1 가스와는 독립해서 제 2 가스를 처리 공간에 공급하는 제 2 가스 공급부A second gas supply unit that includes a plurality of second gas discharge holes that open to the gas discharge surface on the processing space side in the partition unit, and supplies a second gas to the processing space independently of the first gas

를 구비하고,And,

상기 제 2 가스 공급부는 처리 공간에 있어서의 횡 방향으로 분할된 복수의 영역마다 각각 독립해서 제 2 가스를 공급하도록 구성된, 각 영역마다의 가스 공급부를 구비하는 것을 특징으로 한다.The second gas supply unit is characterized by including a gas supply unit for each region configured to independently supply a second gas to each of a plurality of regions divided in the transverse direction in the processing space.

본 발명의 기판 처리 방법은 상술의 기판 처리 장치를 이용한 기판 처리 방법으로서, The substrate processing method of the present invention is a substrate processing method using the substrate processing apparatus described above,

상기 확산 공간에 공급된 상기 제 1 가스를 활성화해서 상기 처리 공간에 공급하고, 상기 기판의 표면에 형성된 실리콘 질화막을 에칭하는 에칭 공정과, An etching step of activating the first gas supplied to the diffusion space, supplying it to the processing space, and etching a silicon nitride film formed on the surface of the substrate;

상기 처리 공간에 있어서의 상기 활성화된 상기 제 1 가스의 분포를 조정하기 위해서, 상기 처리 공간에 있어서 횡 방향으로 배열된 복수의 영역에 각각 제 2 가스를 공급하는 분포 조정 공정과,A distribution adjusting step of supplying a second gas to a plurality of regions arranged in a transverse direction in the processing space, in order to adjust the distribution of the activated first gas in the processing space;

상기 에칭 공정 및 분포 조정 공정 이후에 행해지고, 상기 실리콘 질화막의 표면에 있어서의 산화막을 제거하기 위한 산화막 제거 가스를, 상기 제 1 가스 공급부로부터 상기 확산 공간을 거쳐서 상기 처리 공간에 공급하거나, 상기 제 2 가스 공급부로부터 상기 처리 공간에 공급하는 공정을 구비하는 것을 특징으로 한다.An oxide film removal gas for removing an oxide film on the surface of the silicon nitride film, performed after the etching step and the distribution adjustment step, is supplied from the first gas supply unit to the processing space through the diffusion space, or the second And a step of supplying to the processing space from a gas supply unit.

본 발명은 처리 용기 내에 탑재된 피처리 기판에 가스를 공급하는 기판 처리 장치로서, 처리 용기 내에서 가스를 확산시키는 확산 영역과, 기판에 가스 처리를 행하는 처리 영역과 구획부로 구획하고, 확산 공간에 제 1 가스를 공급하고 있다. 확산 공간에 공급한 제 1 가스를 구획부에 형성한 제 1 가스 공급 구멍을 통해서 공급함과 아울러, 구획부의 하면에 마련된 제 2 가스 공급 구멍으로부터, 제 1 가스와는 독립해서 제 2 가스를 처리 공간에 공급하고 있다. 또한, 제 2 가스를 공급할 때에, 기판의 중심축을 포함하는 중앙 영역에 제 2 가스를 공급하는 중앙측 가스 공급부와, 중앙 영역을 둘러싸는 주연부 영역으로부터 제 2 가스를 공급하는 주연부측 가스 공급부를 서로 독립하도록 마련하고 있다. 그 때문에 제 2 가스를 탑재대의 중심측과, 탑재대의 주연부측에서 독립해서 공급량을 변경할 수 있어, 기판의 가스 처리의 면내 분포를 조정할 수 있다.The present invention is a substrate processing apparatus for supplying a gas to a substrate to be processed mounted in a processing vessel, and is divided into a diffusion region for diffusing gas in the processing vessel, a processing region for performing gas treatment on the substrate and a partition, and the diffusion space The first gas is being supplied. The first gas supplied to the diffusion space is supplied through the first gas supply hole formed in the partition portion, and the second gas is treated independently of the first gas from the second gas supply hole provided in the lower surface of the partition portion. To supply. In addition, when supplying the second gas, the central gas supply unit for supplying the second gas to the central region including the central axis of the substrate, and the peripheral gas supply unit for supplying the second gas from the peripheral region surrounding the central region. We are preparing to be independent. Therefore, the supply amount of the second gas can be independently changed at the center side of the mounting table and the peripheral edge side of the mounting table, and the in-plane distribution of the gas treatment of the substrate can be adjusted.

도 1은 제 1 실시 형태에 따른 멀티 챔버 시스템의 평면도이다.
도 2는 제 1 실시 형태에 따른 플라즈마 처리 장치의 종단면도이다.
도 3은 샤워판을 상방에서 본 평면도이다.
도 4는 샤워판을 하방에서 본 평면도이다
도 5는 상기 샤워판의 종단면도이다.
도 6은 상기 샤워판의 횡단면도이다.
도 7은 상기 샤워판의 단면 사시도이다.
도 8은 이온 트랩부의 단면도이다.
도 9는 이온 트랩부를 나타내는 평면도이다.
도 10은 플라즈마 처리 장치의 작용을 나타내는 설명도이다.
도 11은 플라즈마 처리 장치의 작용을 나타내는 설명도이다.
도 12는 본 발명의 실시 형태의 다른 예에 있어서의 샤워판의 설명도이다.
도 13은 본 발명의 기판 처리가 행해지는 웨이퍼를 나타내는 단면도이다.
도 14는 본 발명의 실시 형태의 다른 예의 작용을 나타내는 설명도이다.
도 15는 본 발명의 실시 형태의 다른 예의 작용을 나타내는 설명도이다.
도 16은 에칭 처리 후의 웨이퍼를 나타내는 단면도이다.
도 17은 제 2 실시 형태에 따른 샤워판의 상면측을 나타내는 평면도이다.
도 18은 제 2 실시 형태에 따른 샤워판의 하면측을 나타내는 평면도이다.
도 19는 제 2 실시 형태에 따른 샤워판을 나타내는 종단면도이다.
도 20은 제 2 실시 형태에 따른 샤워판을 나타내는 종단면도이다.
도 21은 제 3 실시 형태에 따른 기판 처리 장치를 나타내는 종단면도이다.
도 22는 제 3 실시 형태에 따른 샤워 헤드를 나타내는 평면도이다.
도 23은 제 3 실시 형태에 따른 샤워 헤드를 나타내는 평면도이다.
1 is a plan view of a multi-chamber system according to a first embodiment.
2 is a longitudinal cross-sectional view of the plasma processing apparatus according to the first embodiment.
3 is a plan view of the shower plate as seen from above.
Fig. 4 is a plan view of the shower plate as seen from below
5 is a longitudinal sectional view of the shower plate.
6 is a cross-sectional view of the shower plate.
7 is a cross-sectional perspective view of the shower plate.
8 is a cross-sectional view of an ion trap unit.
9 is a plan view showing an ion trap unit.
10 is an explanatory diagram showing the operation of the plasma processing apparatus.
11 is an explanatory diagram showing the action of the plasma processing apparatus.
12 is an explanatory diagram of a shower plate in another example of the embodiment of the present invention.
13 is a cross-sectional view showing a wafer on which the substrate treatment of the present invention is performed.
14 is an explanatory diagram showing the operation of another example of the embodiment of the present invention.
15 is an explanatory diagram showing the operation of another example of the embodiment of the present invention.
16 is a cross-sectional view showing a wafer after an etching process.
17 is a plan view showing an upper surface side of a shower plate according to a second embodiment.
18 is a plan view showing a lower surface side of a shower plate according to a second embodiment.
19 is a longitudinal sectional view showing a shower plate according to a second embodiment.
20 is a longitudinal sectional view showing a shower plate according to a second embodiment.
21 is a longitudinal cross-sectional view showing a substrate processing apparatus according to a third embodiment.
22 is a plan view showing a shower head according to a third embodiment.
23 is a plan view showing a shower head according to a third embodiment.

(제 1 실시 형태)(First embodiment)

제 1 실시 형태에 따른 기판 처리 장치를 플라즈마 처리 장치에 적용한 예에 대해 설명한다. 도 1은 플라즈마 처리 장치를 구비한 멀티 챔버 시스템인 진공 처리 장치를 나타낸다. 진공 처리 장치는 그 내부 분위기가 건조 가스, 예를 들면 건조한 질소 가스에 의해 상압(常壓) 분위기로 되는, 횡으로 연장된 상압(常壓) 반송실(12)를 구비하고, 상압 반송실(12)의 앞에는, 반송 용기(C)를 탑재하기 위한 3대의 로드 포트(11)가 나란히 설치되어 있다.An example in which the substrate processing apparatus according to the first embodiment is applied to the plasma processing apparatus will be described. 1 shows a vacuum processing apparatus which is a multi-chamber system with a plasma processing apparatus. The vacuum processing apparatus includes a horizontally extended normal pressure transfer chamber 12 in which the internal atmosphere becomes an atmospheric pressure atmosphere by a dry gas, for example, dry nitrogen gas, and an atmospheric pressure transfer chamber ( In front of 12), three load ports 11 for mounting the conveyance container C are provided side by side.

상압 반송실(12)의 정면벽에는, 상기 반송 용기(C)의 덮개와 함께 개폐되는 도어(17)가 장착되어 있다. 상압 반송실(12) 내에는, 웨이퍼(W)를 반송하기 위한 관절 암으로 구성된 반송 기구(15)가 마련되어 있다. 상압 반송실(12)에 있어서의 로드 포트(11)의 반대 측에는, 예를 들면 2개의 로드록실(13)이 나란히 배치되어 있다. 로드록실(13)과 상압 반송실(12)의 사이에는, 게이트 밸브(18)가 마련되고, 로드록실(13)의 상압 반송실(12)측으로부터 보아 안쪽에는, 진공 반송실(10)이 게이트 밸브(19)를 사이에 두고 배치되어 있다.On the front wall of the atmospheric conveyance chamber 12, a door 17 that opens and closes together with the cover of the conveyance container C is attached. In the normal pressure transfer chamber 12, a transfer mechanism 15 composed of a joint arm for transferring the wafer W is provided. On the opposite side of the load port 11 in the normal pressure transfer chamber 12, for example, two load lock chambers 13 are arranged side by side. A gate valve 18 is provided between the load lock chamber 13 and the atmospheric pressure transfer chamber 12, and a vacuum transfer chamber 10 is provided inside the load lock chamber 13 as viewed from the atmospheric pressure transfer chamber 12 side. It is disposed with the gate valve 19 interposed therebetween.

진공 반송실(10)에는, 예를 들면 성막 처리, PHT(Post Heat Treatment) 처리 및 플라즈마 처리를 행하는 프로세스 모듈(1)이 접속되어 있다. 진공 반송실(10)에는, 관절 암으로 구성되는 2개의 반송 암을 구비한 반송 기구(16)가 마련되어 있고, 반송 기구(16)에 의해, 각 로드록실(13) 및 각 프로세스 모듈(1)의 사이에서 웨이퍼(W)의 수수가 행해진다. 또 진공 처리 장치에 있어서의 상압 반송실(12)에는, 웨이퍼(W)를 냉각하기 위한 냉각 장치(14)가 접속되어 있다. 예를 들면 성막 장치는 웨이퍼(W)에 질화 실리콘(SiN) 막을 성막함과 아울러, PHT 장치는 플라즈마 처리 후의 웨이퍼(W)를 가열해서 플라즈마 처리로 생성하는 반응 생성물을 승화시킨다.To the vacuum transfer chamber 10, a process module 1 that performs a film forming process, a PHT (Post Heat Treatment) process, and a plasma process is connected, for example. The vacuum transfer chamber 10 is provided with a transfer mechanism 16 including two transfer arms composed of articulated arms, and by the transfer mechanism 16, each load lock chamber 13 and each process module 1 The wafer W is transferred between. In addition, a cooling device 14 for cooling the wafer W is connected to the normal pressure transfer chamber 12 in the vacuum processing device. For example, the film forming apparatus forms a silicon nitride (SiN) film on the wafer W, while the PHT apparatus heats the wafer W after plasma treatment to sublimate the reaction product generated by the plasma treatment.

다음으로 진공 처리 장치에 마련되는 프로세스 모듈(1) 중 플라즈마 처리 장치(2)에 대해 도 2를 참조해서 설명한다. 여기에서는, 예를 들면 3불화 질소(NF3) 가스, 산소(O2) 가스, 및 수소(H2) 가스를 여기시키고, 여기시킨 라디칼을 이용하여, 웨이퍼(W)에 형성한 SiN막의 에칭을 행하는 플라즈마 처리 장치를 예로 설명한다. 플라즈마 처리 장치(2)는 알루미늄 등의 금속제의 진공 용기로 구성된 처리 용기(20)를 구비하고 있다. 도 2에 나타내는 바와 같이 플라즈마 처리 장치는, 좌우로 나란히 연결된 2개의 처리 용기(20)를 구비하고, 연결된 2개의 처리 용기(20)의 전후 방향 일면측에, 도 1에 나타내는 진공 반송실(10)과의 사이에서 웨이퍼(W)의 반입출을 행하기 위한, 2개의 처리 용기(20)에 공통인 반송구(22)가 형성되고, 이 반송구(22)는 게이트 밸브(21)에 의해 자유롭게 개폐하도록 구성되어 있다.Next, the plasma processing apparatus 2 of the process modules 1 provided in the vacuum processing apparatus will be described with reference to FIG. 2. Here, for example, nitrogen trifluoride (NF 3 ) gas, oxygen (O 2 ) gas, and hydrogen (H 2 ) gas are excited, and the SiN film formed on the wafer W is etched using the excited radical. An example of a plasma processing apparatus that performs the process will be described. The plasma processing apparatus 2 includes a processing container 20 made of a metal vacuum container such as aluminum. As shown in FIG. 2, the plasma processing apparatus is provided with two processing vessels 20 connected side by side from side to side, and on one side of the front and rear direction of the connected two processing vessels 20, the vacuum transfer chamber 10 shown in FIG. ), a transfer port 22 common to the two processing containers 20 is formed for carrying in/out of the wafer W, and the transfer port 22 is formed by a gate valve 21 It is configured to open and close freely.

도 2에 나타내는 바와 같이 연결된 처리 용기(20) 내는, 상부 측에 마련된 격벽(23)과, 격벽(23)의 아래쪽에 마련된 구획벽(24)에 의해, 각 처리 용기(20)가 구획되어 있다. 구획벽(24)은 예를 들면 승강 기구(25)에 의해 자유롭게 승강하도록 구성되고, 구획벽(24)을 하강시키고 있을 때는, 2개의 처리 용기(20)에 있어서의 탑재대(3)가 배치되어 있는 처리 공간끼리가 연통하고, 각 처리 용기(20) 내에 웨이퍼(W)를 반입할 수 있지만, 구획벽(24)을 상승시킴으로써, 2개의 처리 공간이 서로 구획된다. 또한 플라즈마 처리 장치(2)에 있어서의, 2개의 처리 용기(20) 내는 대략 마찬가지로 구성되어 있기 때문에, 이하 한쪽의 처리 용기(20)에 대해 설명한다.In the connected processing container 20 as shown in FIG. 2, each processing container 20 is partitioned by a partition wall 23 provided on the upper side and a partition wall 24 provided below the partition wall 23. . The partition wall 24 is configured so as to be freely raised and lowered by the lifting mechanism 25, for example, and when the partition wall 24 is lowered, the mounting table 3 in the two processing containers 20 is arranged. Although the processed spaces communicated with each other, the wafer W can be carried in each processing container 20, but the two processing spaces are partitioned from each other by raising the partition wall 24. In addition, since the inside of the two processing vessels 20 in the plasma processing apparatus 2 is configured substantially the same, one of the processing vessels 20 will be described below.

도 1, 도 2에 나타내는 바와 같이, 처리 용기(2)에는, 웨이퍼(W)를 수평으로 지지하기 위한 탑재대(3)가 배치되어 있다. 또 탑재대(3)의 내부에는 온도 조절 유로(33)가 형성되고, 온도 조절 유로에는, 예를 들면 물 등의 온도 조절용의 매체가 통류되고, 후술하는 라디칼 처리에 있어서, 웨이퍼(W)를 예를 들면 10~120℃로 온도 조정한다. 또 탑재대(3)에는 탑재대의 표면으로부터 돌출하도록 마련된 도시하지 않는 승강 핀이 둘레 방향으로 등간격으로 3개 마련되어 있다.1 and 2, a mounting table 3 for horizontally supporting the wafer W is disposed in the processing container 2. In addition, a temperature control flow path 33 is formed inside the mounting table 3, and a temperature control medium such as water flows through the temperature control flow path, and in the radical treatment described later, the wafer W is removed. For example, adjust the temperature to 10 to 120°C. Further, the mounting table 3 is provided with three lifting pins (not shown) provided to protrude from the surface of the mounting table at equal intervals in the circumferential direction.

각 처리 용기(20)에 있어서의 천정판 부분에는, 예를 들면 석영판 등으로 구성된 유전체창(26)이 마련되어 있다. 각 유전체창(26)의 상면 측에는, 소용돌이 형상의 평면 코일로 구성된 고주파 안테나(27)가 탑재되어 있다. 코일 형상의 고주파 안테나(27)의 단부에는, 정합기(28)를 통해서 예를 들면 200~1200W의 고주파를 출력하는 고주파 전원(29)이 접속되어 있다. 고주파 안테나(27), 정합기(28) 및 고주파 전원(29)은 플라즈마 발생부에 상당한다.A dielectric window 26 made of, for example, a quartz plate or the like is provided in a portion of the ceiling plate of each processing container 20. On the upper surface side of each dielectric window 26, a high-frequency antenna 27 made of a spiral planar coil is mounted. To the end of the coil-shaped high-frequency antenna 27, a high-frequency power supply 29 for outputting a high frequency of 200 to 1200 W, for example, is connected via a matching device 28. The high frequency antenna 27, the matching device 28, and the high frequency power supply 29 correspond to a plasma generator.

또 각 처리 용기(20)마다 제 1 가스를 공급하기 위한 가스 공급구(34)가 형성되고, 가스 공급구(34)에는, 가스 공급관(35)의 일단측이 접속되어 있다. 가스 공급관(35)의 타단측은 3개로 분기하고, 각 단부에는, 각각 NF3 가스 공급원(36), H2 가스 공급원(37) 및 O2 가스 공급원(38)이 접속되어 있다. 또한 도 2의 V1~V3는 밸브이며, M1~M3는 유량 조정부이다. 이것에 의해, NF3 가스, H2 가스 및 O2 가스를 각각 소정의 유량으로 처리 용기(20) 내에 공급할 수 있도록 구성되어 있다. 가스 공급구(34)로부터 공급되는 이들 가스는 제 1 가스에 상당한다.In addition, a gas supply port 34 for supplying the first gas is formed for each processing container 20, and one end of the gas supply pipe 35 is connected to the gas supply port 34. The other end of the gas supply pipe 35 is branched into three, and an NF 3 gas supply source 36, an H 2 gas supply source 37, and an O 2 gas supply source 38 are connected to each end. In addition, V1 to V3 in Fig. 2 are valves, and M1 to M3 are flow rate adjustment units. Thereby, it is comprised so that NF 3 gas, H 2 gas, and O 2 gas can each be supplied into the processing container 20 at predetermined flow rates. These gases supplied from the gas supply port 34 correspond to the first gas.

처리 용기(20)에 있어서의 탑재대(3)의 위쪽에는, 처리 용기(20) 내를 NF3 가스, O2 가스 및 H2 가스를 확산하는 확산 공간인 동시에 플라즈마를 여기하는 플라즈마 공간(P)과, 탑재대(3)에 탑재된 웨이퍼(W)에 라디칼 처리를 행하는 처리 공간(S)으로 구획하는 구획부(5)가 마련되어 있다.Above the mounting table 3 in the processing container 20, a plasma space (P) which is a diffusion space for diffusing NF 3 gas, O 2 gas, and H 2 gas in the processing container 20 and excites plasma. ), and a partition portion 5 that divides the wafer W mounted on the mounting table 3 into a processing space S for performing radical treatment.

구획부(5)는 샤워판(4)과 이온 트랩부(51)를 구비하고, 아래쪽으로부터 이 순서로 배치되어 있다. 샤워판(4) 및 이온 트랩부(51)는 서로의 열팽창율의 차이로 인해 마찰로 파티클이 발생할 우려가 있기 때문에, 예를 들면 스페이서 등을 이용해서 서로 접촉하지 않도록 간극을 두고 배치된다. The partition portion 5 includes a shower plate 4 and an ion trap portion 51, and is arranged in this order from below. The shower plate 4 and the ion trap portion 51 are disposed with a gap so as not to contact each other by using, for example, a spacer or the like, since there is a possibility that particles may be generated due to friction due to a difference in coefficient of thermal expansion.

샤워판(4)에 대해 도 3~도 7을 참조해서 설명한다. 도 3은 각 처리 용기(20)에 마련되는 샤워판(4)을 상방측에서 본 도면을 나타내고, 도 4는 한쪽의 처리 용기(20) 내에 있어서의 샤워판(4)을 탑재대(3)측에서 본 평면도를 나타낸다. 또 도 5는 샤워판(4)의 종단면도, 도 6은 샤워판(4)의 횡단면을 탑재대(3)측에서 본 단면도이며, 도 7은 샤워판(4)의 일부를 단면으로 한 사시도를 나타낸다. 또한, 도 7에 있어서는, 플랜지(400)에 형성한 가스 확산 유로(45) 및 가스 도입로(405)의 천정면은 판 형상의 부재에 의해 폐쇄되지만, 설명의 편의상 가스 확산 유로(45) 및 가스 도입로(405)의 천정면을 개방하도록 나타내고 있다. 후술하는 바와 같이 샤워판(4) 내에는, 처리 공간(S)측에 제 2 가스인 불활성 가스, 예를 들면 아르곤(Ar) 가스를 공급하기 위한 유로가 형성되어 있지만, 도 2에서는, 샤워판(4)의 단면은 도면 작성의 곤란성으로 인해 사선으로서 나타내고 있고, 후술하는 내부의 유로에 대해서는, 나타내지 않았다. 샤워판(4)은 예를 들면 알루미늄판으로 구성되고, 도 3에 나타내는 바와 같이 각 처리 용기(20) 내를 구획하는 샤워판(4)은 서로 접속된 1매의 판상체(40)로서 구성되어 있다.The shower plate 4 will be described with reference to FIGS. 3 to 7. Fig. 3 shows a view of the shower plate 4 provided in each processing container 20 viewed from the upper side, and Fig. 4 is a mounting table 3 with a shower plate 4 in one processing container 20 The plan view seen from the side is shown. 5 is a longitudinal cross-sectional view of the shower plate 4, FIG. 6 is a cross-sectional view of the shower plate 4 as viewed from the mounting table 3 side, and FIG. 7 is a perspective view of a part of the shower plate 4 as a cross-sectional view. Represents. In Fig. 7, the gas diffusion passage 45 and the ceiling surface of the gas introduction passage 405 formed on the flange 400 are closed by a plate-shaped member, but for convenience of explanation, the gas diffusion passage 45 and the It is shown so that the ceiling surface of the gas introduction path 405 may be opened. As will be described later, in the shower plate 4, a flow path for supplying an inert gas, for example, argon (Ar) gas, which is a second gas to the processing space S side, is formed. In FIG. The cross section of (4) is shown as an oblique line due to difficulty in drawing, and the internal flow path described later is not shown. The shower plate 4 is made of, for example, an aluminum plate, and as shown in FIG. 3, the shower plate 4 partitioning the interior of each processing container 20 is configured as a single plate-shaped body 40 connected to each other. Has been.

판상체(40)에 있어서의 샤워판(4)의 주위에는 플랜지(400)가 형성되고, 샤워판(4)은 처리 용기(20)의 둘레벽 내에 플랜지(400)를 삽입해서 고정되고, 이 플랜지(400)를 거쳐서, 샤워판(4)의 열이 처리 용기(20)의 내벽을 지나 확산하도록 구성되어 있다. 또 플랜지(400)의 내부에 냉매 유로를 형성하여, 샤워판(4)을 냉각하도록 구성해도 좋다.A flange 400 is formed around the shower plate 4 in the plate-shaped body 40, and the shower plate 4 is fixed by inserting the flange 400 into the peripheral wall of the processing container 20, Through the flange 400, the heat of the shower plate 4 is configured to diffuse past the inner wall of the processing container 20. Further, a coolant flow path may be formed inside the flange 400 to cool the shower plate 4.

도 3 및 도 4에 나타내는 바와 같이 처리 용기(20)의 배열 방향을 좌우로 하면, 샤워판(4)을 전후로 구분한 2개의 반원 형상의 영역에, 각각 전후 방향으로 연장하고, 샤워판(4)을 두께 방향으로 관통하도록 형성된 슬릿(42)이 좌우 방향으로 배열되어 형성되어 있다. 도 5에 나타내는 바와 같이 슬릿(42)은, 예를 들면 폭이 후술하는 이온 트랩부(51)에 형성된 슬릿(42)보다 넓게 구성됨과 아울러, 하면측의 개구부를 향해서 직경이 확대되도록 구성되어 있다. 또 슬릿(42)의 개구부의 단부가 챔퍼링되어 있고, 슬릿(42)을 통과하는 가스의 컨덕턴스의 저하를 억제하도록 구성되어 있다.As shown in Figs. 3 and 4, when the arrangement direction of the processing container 20 is left and right, the shower plate 4 extends in the front-rear direction to the two semicircular regions separated by the front and rear sides, respectively, and the shower plate 4 ), the slits 42 formed to penetrate in the thickness direction are arranged in the left and right directions. As shown in Fig. 5, the slit 42 is configured such that, for example, the width is wider than that of the slit 42 formed in the ion trap part 51 to be described later, and the diameter thereof is expanded toward the opening on the lower surface side. . Further, the end of the opening of the slit 42 is chamfered, and it is configured to suppress a decrease in conductance of the gas passing through the slit 42.

또 도 4 및 도 6에 나타내는 바와 같이 샤워판(4)의 내부에는, 슬릿(42)이 형성된 반원 형상의 영역 사이를 좌우 방향(처리 용기(20)의 배열 방향)으로 연장하도록 가스 공급로(43)가 형성되어 있다. 가스 공급로(43)에 있어서의 샤워판(4)의 중앙 부근의 부위는, 가스 공급로(43)로부터 직교하는 방향(전후 방향)으로 분기한 복수의 중앙측 가스 공급로(44)가 샤워판(4)의 중앙 부근의 원형의 영역(중앙 영역)에 걸쳐서, 각 슬릿(42)의 간극에 형성되어 있다. 또 도 4, 도 6 및 도 7에 나타내는 바와 같이 가스 공급로(43)에 있어서의 샤워판(4)의 주연부측의 단부는, 플랜지(400) 내부에 형성된 중앙측 가스 도입 포트(402)에 접속되어 있다. 중앙측 가스 도입 포트(402)에는, 중앙측 가스 공급관(47)을 통해서 Ar 가스 공급원(48)이 접속되고, 중앙측 가스 공급관(47)에는, 상류측으로부터 유량 조정부(M4) 및 밸브(V4)가 마련되어 있다. 또 도 4, 도 5 및 도 7에 나타내는 바와 같이 중앙측 가스 공급로(44)에는, 샤워판(4)의 탑재대(3)측의 면인 가스 토출면으로 개구하는 중앙측 가스 토출 구멍(41A)이 분산해서 형성되어 있다. 이 가스 공급로(43), 중앙측 가스 공급로(44), 중앙측 가스 도입 포트(402), 중앙측 가스 공급관(47), Ar 가스 공급원(48), 유량 조정부(M4), 밸브(V4) 및 중앙측 가스 토출 구멍(41A)은 중앙측 가스 공급부에 상당한다.In addition, as shown in Figs. 4 and 6, in the interior of the shower plate 4, a gas supply path (in the direction of the arrangement of the processing vessel 20) extends between the semicircular regions in which the slits 42 are formed. 43) is formed. In the portion near the center of the shower plate 4 in the gas supply passage 43, a plurality of central gas supply passages 44 branched in a direction (front-to-back direction) orthogonal from the gas supply passage 43 are showered. It is formed in the gap of each slit 42 over a circular area (central area) near the center of the plate 4. In addition, as shown in FIGS. 4, 6, and 7, the end of the shower plate 4 on the periphery side of the gas supply path 43 is in the center gas introduction port 402 formed inside the flange 400. Connected. The Ar gas supply source 48 is connected to the center gas introduction port 402 through the center gas supply pipe 47, and the flow control unit M4 and the valve V4 are connected to the center gas supply pipe 47 from the upstream side. ) Is provided. In addition, as shown in Figs. 4, 5, and 7, in the center side gas supply path 44, the center side gas discharge hole 41A opened to the gas discharge surface which is the side of the mounting table 3 of the shower plate 4 ) Is dispersed and formed. This gas supply path 43, the center side gas supply path 44, the center side gas introduction port 402, the center side gas supply pipe 47, the Ar gas supply source 48, the flow control part M4, the valve V4 ) And the center side gas discharge hole 41A correspond to the center side gas supply part.

또 도 4, 도 6 및 도 7에 나타내는 바와 같이 샤워판(4)의 전후의 주위에 있어서의 플랜지(400)의 내부에는, 해당 샤워판(4)의 주연부를 따라 원호 형상으로 연장하는 가스 확산 유로(45)가 형성되어 있고, 샤워판(4)에 있어서의 중앙 영역의 주위의 주연부 영역의 내부에는, 가스 확산 유로(45)로부터 분기하고, 전후 방향으로 연장하는 주연부측 가스 공급로(46)가 각 슬릿(42)의 간극에 형성되어 있다. 각 가스 확산 유로(45)에는, 각각 가스 확산 유로(45)를 길이 방향으로 2 등분하는 위치로부터, 판상체(40)의 주연부측을 향해서 접속 유로(404)가 인출되어, 전후 방향으로 연장하도록 형성되어 있다. 보다 구체적으로 말하면, 상기와 같이 가스 확산 유로(45)는 원호 형상이지만, 접속 유로(404)는 이 원호의 법선 방향을 따라 형성되어 있다. 그리고, 이 접속 유로(404)의 상류측은 굴곡되어, 주연부측 가스 도입로(405)를 형성하고 있다. 해당 주연부측 가스 도입로(405)는 판상체(40)의 좌우의 중앙부를 향하고, 접속 유로(404)의 연장 방향과는 직교하도록 연장하고 있고, 해당 주연부측 가스 도입로(405)의 상류단은 주연부측 가스 도입 포트(403)에 접속되어 있다.Further, as shown in Figs. 4, 6, and 7, in the inside of the flange 400 around the front and rear of the shower plate 4, gas diffusion extending in an arc shape along the periphery of the shower plate 4 The flow path 45 is formed, and in the inside of the peripheral edge region around the central region of the shower plate 4, a gas supply path 46 on the peripheral side that branches from the gas diffusion flow path 45 and extends in the front-rear direction. ) Is formed in the gap between each slit 42. In each gas diffusion flow path 45, a connection flow path 404 is drawn out toward the peripheral portion of the plate-like body 40 from a position where the gas diffusion flow path 45 is divided into two in the longitudinal direction, and extends in the front-rear direction. Is formed. More specifically, the gas diffusion flow path 45 has an arc shape as described above, but the connection flow path 404 is formed along the normal direction of the arc. And the upstream side of this connection flow path 404 is bent, and the gas introduction path 405 on the periphery side is formed. The periphery side gas introduction path 405 faces the left and right central portions of the plate-shaped body 40 and extends so as to be orthogonal to the extending direction of the connection flow path 404, and the upstream end of the periphery side gas introduction path 405 Is connected to the gas introduction port 403 on the periphery side.

그런데 도 6에 있어서 화살표의 앞의 점선의 범위 내에, 접속 유로(404) 및 가스 확산 유로(45)를 확대해서 나타내고 있다. 이 도 6에 나타내는 바와 같이 접속 유로(404)의 폭(d)은 주연부측 가스 도입로(405)의 유로의 폭(D)보다 가늘게 형성되어 있다(D>d). 예를 들면 주연부측 가스 도입로(405)의 유로의 폭(D)이 4~10㎜이며, 접속 유로(404)의 유로의 폭(d)은 2~6㎜이다. 또 접속 유로(404)의 길이(L)는 접속 유로(404)의 유로의 폭(d)보다 2배 이상의 길이(L≥2d)이고, 접속 유로(404)의 길이(L)는 예를 들면 4~12㎜로 형성되어 있다.By the way, in FIG. 6, the connection flow path 404 and the gas diffusion flow path 45 are enlarged and shown within the range of the dotted line in front of an arrow. As shown in FIG. 6, the width d of the connection flow path 404 is formed to be thinner than the width D of the flow path of the gas introduction path 405 on the periphery side (D>d). For example, the width D of the flow path of the gas introduction path 405 on the periphery side is 4 to 10 mm, and the width d of the flow path of the connection flow path 404 is 2 to 6 mm. Further, the length (L) of the connection flow path 404 is at least twice the length (L≥2d) of the width (d) of the flow path of the connection flow path 404, and the length (L) of the connection flow path 404 is, for example It is formed in 4-12 mm.

주연부측 가스 도입 포트(403)에는, 주연부측 가스 공급관(49)을 거쳐서 Ar 가스 공급원(48)이 접속되어 있다. 주연부측 가스 공급관(49)에는, 상류측으로부터 유량 조정부(M5) 및 밸브(V5)가 마련되어 있다. 또 도 4, 도 5 및 도 7에 나타내는 바와 같이 주연부측 가스 공급로(46)에는, 샤워판(4)의 탑재대(3)측의 면으로 개구하는 주연부측 가스 토출 구멍(41B)이 분산되어 형성되어 있다. 이 가스 확산 유로(45), 주연부측 가스 공급로(46), 주연부측 가스 도입 포트(403), 접속 유로(404), 주연부측 가스 도입로(405), 주연부측 가스 공급관(49), Ar 가스 공급원(48), 유량 조정부(M5), 밸브(V5) 및 주연부측 가스 토출 구멍(41B)은 주연부측 가스 공급부에 상당한다. 도 4에서는, 중앙측 가스 토출 구멍(41A)을 흑점으로 나타내고, 주연부측 가스 토출 구멍(41B)을 백점으로 나타내고 있다.An Ar gas supply source 48 is connected to the peripheral portion side gas introduction port 403 via a peripheral portion side gas supply pipe 49. The gas supply pipe 49 on the periphery side is provided with a flow rate adjustment unit M5 and a valve V5 from the upstream side. Further, as shown in Figs. 4, 5, and 7, in the gas supply path 46 on the periphery side, a gas discharge hole 41B on the periphery that opens to the surface of the mounting table 3 of the shower plate 4 is dispersed. Is formed. The gas diffusion passage 45, the gas supply passage 46 on the periphery side, the gas introduction port 403 on the periphery side, the connection passage 404, the gas introduction passage 405 on the periphery side, the gas supply pipe 49 on the periphery side, Ar The gas supply source 48, the flow rate adjustment part M5, the valve V5, and the peripheral-side gas discharge hole 41B correspond to the peripheral-side gas supply part. In Fig. 4, the center side gas discharge hole 41A is indicated by black dots, and the peripheral side gas discharge hole 41B is indicated by white dots.

이온 트랩부(51)는 도 8에 나타내는 바와 같이 예를 들면 상하에 배치된 2매의 석영판(51a, 51b)으로 구성되어 있다. 2매의 석영판(51a, 51b)의 사이에는, 주연부를 따라, 예를 들면 석영제의 스페이서(52)가 마련되고, 2매의 석영판(51a, 51b)이 간극을 두고 대향하도록 배치되어 있다. 각 석영판(51a, 51b)에는, 도 8, 도 9에 나타내는 바와 같이 각각 두께 방향으로 관통하는 슬릿(53, 54)이 좌우 방향으로 연장하도록 복수 형성되고, 각 석영판(51a, 51b)에 형성된 슬릿(53, 54)은 상방측에서 보았을 때에, 그 위치가 서로 겹치지 않도록, 서로 어긋나게 형성되어 있다. 또한 도 3~도 9에 있어서의 슬릿(42, 53, 54) 및 중앙측 가스 토출 구멍(41A), 주연부측 가스 토출 구멍(41B)은 모식적으로 나타낸 것이고, 슬릿 및 토출 구멍의 배치 간격이나 수에 대해 정확하게 기재하고 있지 않다.As shown in Fig. 8, the ion trap unit 51 is composed of, for example, two quartz plates 51a and 51b arranged above and below. A spacer 52 made of, for example, quartz is provided along the periphery between the two quartz plates 51a and 51b, and the two quartz plates 51a and 51b are arranged to face each other with a gap. have. In each of the quartz plates 51a and 51b, as shown in Figs. 8 and 9, a plurality of slits 53 and 54 penetrating in the thickness direction are formed so as to extend in the left and right directions, and each of the quartz plates 51a and 51b is The formed slits 53 and 54 are formed to be shifted from each other so that their positions do not overlap each other when viewed from the upper side. In addition, the slits 42, 53, 54, the center side gas discharge hole 41A, and the peripheral side gas discharge hole 41B in Figs. 3 to 9 are schematically shown, and the arrangement interval of the slits and the discharge holes The number is not accurately stated.

또한 제 1 실시 형태에서는, 샤워판(4) 및 이온 트랩부(51)에 형성된 슬릿(42, 53, 54)이 제 1 가스 공급 구멍에 상당한다.Further, in the first embodiment, the slits 42, 53, and 54 formed in the shower plate 4 and the ion trap portion 51 correspond to the first gas supply holes.

또 도 2로 돌아와, 처리 용기(20)의 바닥면에는, 배기구(61)가 개구되어 있고, 배기구(61)에는 배기로(62)가 접속되어 있다. 이 배기로(62)에는 예를 들면 펜듈럼(pendulum) 밸브로 이루어지는 압력 조정 밸브 등을 통해서 진공 펌프 등의 진공 배기부(6)가 접속되고, 처리 용기(20) 내가 소정의 진공 압력까지 감압할 수 있도록 구성되어 있다.Returning to FIG. 2, an exhaust port 61 is opened on the bottom surface of the processing container 20, and an exhaust path 62 is connected to the exhaust port 61. A vacuum exhaust section 6 such as a vacuum pump is connected to the exhaust path 62 through a pressure regulating valve made of, for example, a pendulum valve, and the processing vessel 20 is reduced to a predetermined vacuum pressure. It is structured to be able to.

또 도 1에 나타내는 바와 같이 진공 처리 장치는 제어부(9)를 구비하고 있고, 이 제어부(9)는 프로그램, 메모리, CPU를 구비하고 있다. 이들 프로그램은 컴퓨터 기억 매체, 예를 들면 콤팩트 디스크, 하드 디스크, 광학 자기 디스크 등에 저장되어 제어부(9)로 인스톨된다. 프로그램은 웨이퍼(W)의 반송, 플라즈마 처리 장치(2)에 있어서의 각 가스의 공급 중단을 포함한 처리의 일련의 동작을 실시하도록 스텝군이 짜여져 있다.Further, as shown in Fig. 1, the vacuum processing device includes a control unit 9, which includes a program, a memory, and a CPU. These programs are stored in a computer storage medium such as a compact disk, a hard disk, an optical magnetic disk, or the like, and installed by the control unit 9. In the program, a group of steps is structured so as to perform a series of operations including transfer of the wafer W and interruption of supply of each gas to the plasma processing apparatus 2.

상술의 실시 형태의 작용에 대해 설명한다. 예를 들면 웨이퍼(W)를 수납한 반송 용기(C)가, 진공 처리 장치의 로드 포트(11)에 반입되면, 웨이퍼(W)는 반송 용기(C)로부터 취출되고, 상압 반송실(12), 로드록실(13)을 거쳐서, 진공 반송실(10)에 반송된다. 계속해서 웨이퍼(W)는 반송 기구(16)에 의해 성막 장치에 반송되고, SiN막이 성막된다. 그 후 웨이퍼(W)는 반송 기구(16)에 의해 성막 장치로부터 취출되고, 플라즈마 처리 장치(2)에 반송된다. 플라즈마 처리 장치(2)에서는, 예를 들면 각 탑재대(3)의 승강 핀과 반송 기구(16)의 협동 작용에 의해 웨이퍼(W)가 수수되어, 각 탑재대(3)에 탑재된다. 에칭 대상인 웨이퍼(W)가 반입된 후, 반송 장치를 진공 반송실에 퇴피시켜, 게이트 밸브(21)를 닫음과 아울러, 구획벽(24)을 상승시켜, 각 처리 용기(20)를 구획한다.The operation of the above-described embodiment will be described. For example, when the transfer container C containing the wafer W is carried into the load port 11 of the vacuum processing apparatus, the wafer W is taken out from the transfer container C, and the atmospheric pressure transfer chamber 12 , It is conveyed to the vacuum conveying chamber 10 through the load lock chamber 13. Subsequently, the wafer W is transported to the film forming apparatus by the transport mechanism 16, and a SiN film is formed. After that, the wafer W is taken out from the film forming apparatus by the transfer mechanism 16 and transferred to the plasma processing apparatus 2. In the plasma processing apparatus 2, the wafers W are transferred and mounted on each of the mounting tables 3 by a cooperative action between the lifting pins of the respective mounting tables 3 and the transport mechanism 16, for example. After the wafer W to be etched is carried in, the transfer device is retracted into the vacuum transfer chamber, the gate valve 21 is closed, the partition wall 24 is raised, and each processing container 20 is partitioned.

계속해서 각 처리 용기(20) 내의 압력을 예를 들면 13.3~133.3Pa로 설정하고, NF3 가스를 10~500 sccm, O2 가스를 10~1000 sccm, H2 가스를 5~130 sccm로 각각의 유량으로 공급한다. 또 Ar 가스를 중앙측 가스 토출 구멍(41A)으로부터 50~1000 sccm, 주연부측 가스 토출 구멍(41B)으로부터 50~1000 sccm의 유량으로 가스를 공급한다. 이것에 의해 처리 용기(20)에 있어서의 플라즈마 공간(P)에서는, 이온 트랩부(51)와 유전체창(26)의 사이에 NF3 가스, O2 가스 및 H2 가스가 혼합되어 채워진다.Subsequently, the pressure in each processing vessel 20 is set to 13.3 to 133.3 Pa, for example, 10 to 500 sccm for NF 3 gas, 10 to 1000 sccm for O 2 gas, and 5 to 130 sccm for H 2 gas, respectively. It is supplied at the flow rate of. In addition, Ar gas is supplied from the center side gas discharge hole 41A at a flow rate of 50 to 1000 sccm and from the peripheral side gas discharge hole 41B at a flow rate of 50 to 1000 sccm. As a result, in the plasma space P in the processing container 20, the NF 3 gas, the O 2 gas, and the H 2 gas are mixed and filled between the ion trap portion 51 and the dielectric window 26.

그 후 고주파 전원(29)으로부터 고주파 안테나(27)에 200~1200 W의 고주파 전력을 인가하면, 플라즈마 공간(P)에 유도 전계가 생기고, NF3 가스, O2 가스 및 H2 가스가 여기된다. 이것에 의해 도 10에 나타내는 바와 같이 플라즈마 공간(P)에는, NF3 가스, O2 가스 및 H2 가스의 플라즈마(100)가 생성되지만, 유도 전계가 도넛 형상으로 형성되기 때문에, 플라즈마 공간(P)에 생성되는 플라즈마(100)의 밀도 분포는, 도넛 형상으로 플라즈마의 농도가 높아진 분포로 된다.Thereafter, when a high frequency power of 200 to 1200 W is applied from the high frequency power supply 29 to the high frequency antenna 27, an induced electric field is generated in the plasma space P, and the NF 3 gas, O 2 gas and H 2 gas are excited. . As a result, the plasma 100 of NF 3 gas, O 2 gas and H 2 gas is generated in the plasma space P as shown in FIG. 10, but since the induced electric field is formed in a donut shape, the plasma space P The density distribution of the plasma 100 generated in) is a distribution in which the plasma concentration is increased in a donut shape.

계속해서 플라즈마(100)는 이온 트랩부(51)의 슬릿(53, 54)을 통과하지만, 플라즈마(100) 중의 이온은 이방(異方)적으로 이동하기 때문에, 이온 트랩부(51)의 2개의 슬릿(53, 54)을 통과하지 못하고 포착된다. 또 플라즈마 중의 라디칼은 등방(等方)적으로 이동하기 때문에, 이온 트랩부(51)를 통과해서, 샤워판(4)측으로 통과한다. 그 때문에 플라즈마화한 NF3 가스, O2 가스 및 H2 가스가 이온 트랩부(51)를 통과함으로써, 예를 들면 F, NF2, O 및 H 등의 라디칼의 농도가 높아진다.Subsequently, the plasma 100 passes through the slits 53 and 54 of the ion trap unit 51, but since the ions in the plasma 100 move anisotropically, 2 of the ion trap unit 51 It is captured without passing through the slits 53 and 54 of the dog. Moreover, since radicals in the plasma move isotropically, they pass through the ion trap portion 51 and pass toward the shower plate 4 side. Therefore, when the plasma-formed NF 3 gas, O 2 gas and H 2 gas pass through the ion trap 51, the concentration of radicals such as F, NF 2 , O and H increases.

그리고 이온 트랩부(51)를 통과한 F, NF2, O 및 H 등의 라디칼은 샤워판(4)의 슬릿(42)을 통과해서 처리 공간(S)에 진입한다. 플라즈마(100)는 플라즈마 공간(P)에서, 도넛 형상의 농도 분포로 되는 경향이 있다. 그리고 이온 트랩부(51) 및 샤워판(4)을 통과함으로써, 라디칼은 어느 정도 정류되고, 밀도가 균일화되어 처리 공간(S) 내에 침입해 웨이퍼(W)에 공급된다. 그렇지만 이온 트랩부(51) 및 샤워판(4)을 통과시킴으로써 완전하게 균일화하는 것이 어렵고, 또한 처리 공간(S)에 있어서의 배기에 의해, 라디칼의 밀도 분포는 영향을 받아 버린다.Then, radicals such as F, NF 2 , O and H that have passed through the ion trap unit 51 pass through the slit 42 of the shower plate 4 and enter the processing space S. The plasma 100 tends to have a donut-shaped concentration distribution in the plasma space P. Then, by passing through the ion trap portion 51 and the shower plate 4, the radicals are rectified to some extent, the density becomes uniform, and penetrates into the processing space S and is supplied to the wafer W. However, it is difficult to achieve complete uniformity by passing through the ion trap portion 51 and the shower plate 4, and the density distribution of radicals is affected by the exhaust air in the processing space S.

그리고 중앙측 가스 토출 구멍(41A)으로부터 공급하는 Ar 가스의 유량과 주연부측 가스 토출 구멍(41B)으로부터 공급하는 Ar 가스의 유량을 조정하고, 처리 공간(S)에 있어서의 중앙측의 영역과 주연부측의 영역에 있어서 에칭량을 낮게 억제하고 싶은 쪽의 영역에 공급하는 Ar 가스의 유량을 상대적으로 많게 한다. 예를 들면 처리 공간(S)에 있어서의 주연부측의 영역에 있어서 에칭량을 낮게 억제하고 싶은 경우에는, Ar 가스의 유량을 웨이퍼(W)의 주연부 영역측에서 많게 하고, 웨이퍼(W)의 중앙 영역측에서 적게 한다. 이것에 의해 처리 공간(S)에 있어서, F, NF2, O 및 H 등의 라디칼이 웨이퍼(W)의 주연부 영역측의 영역에서 중앙 영역측보다, Ar 가스에 의해 희석되는 비율이 높아지기 때문에, 웨이퍼(W)의 중심 측에 있어서의 라디칼의 농도가 상대적으로 상승한다. 이것에 의해 도 11에 나타내는 바와 같이 웨이퍼(W)의 중심 측에 있어서의 라디칼의 농도와 웨이퍼(W)의 주연부측에 있어서의 라디칼의 농도가 같다. 따라서 처리 공간(S)에 있어서의 라디칼(101)이 균일하게 되어, 웨이퍼(W)의 에칭의 면내 균일성이 양호해진다. 중앙측 가스 토출 구멍(41A) 및 주연부측 가스 토출 구멍(41B)으로부터 토출되는 Ar 가스에 의해, 제 1 가스 공급부로부터 공급된 가스를 여기시킨 F, NF2, O 및 H 등의 라디칼의 처리 공간(S) 내에 있어서의 분포가 조정되기 때문에, 제 2 가스인 Ar 가스는 제 1 가스의 분포를 조정하는 분포 조정용 가스라고 할 수 있다.Then, the flow rate of the Ar gas supplied from the center side gas discharge hole 41A and the flow rate of the Ar gas supplied from the peripheral side gas discharge hole 41B are adjusted, and the center side region and the peripheral part in the processing space S In the side region, the flow rate of the Ar gas supplied to the region on the side where the etching amount is to be suppressed is relatively increased. For example, in the case where it is desired to reduce the amount of etching in the region on the peripheral portion side of the processing space S, increase the flow rate of Ar gas on the peripheral portion region side of the wafer W, and Do less on the area side. As a result, in the processing space S, the ratio at which radicals such as F, NF 2 , O and H are diluted by Ar gas in the region on the peripheral region side of the wafer W is higher than on the central region side, The concentration of radicals on the center side of the wafer W is relatively increased. As a result, as shown in FIG. 11, the concentration of radicals on the central side of the wafer W and the concentration of radicals on the peripheral side of the wafer W are the same. Therefore, the radical 101 in the processing space S becomes uniform, and the in-plane uniformity of the etching of the wafer W becomes good. A space for processing radicals such as F, NF 2 , O and H in which the gas supplied from the first gas supply unit is excited by Ar gas discharged from the center side gas discharge hole 41A and the peripheral side gas discharge hole 41B Since the distribution in (S) is adjusted, the Ar gas as the second gas can be said to be a distribution adjustment gas that adjusts the distribution of the first gas.

처리 공간(S)에 있어서는, F, NF2, O 및 H 등의 라디칼에 의해, SiN막이 에칭된다. 그 후 웨이퍼(W)는 반송 기구(16)에 의해 PHT 장치에 반송되어, 가열 처리가 행해진다. 이것에 의해 에칭 처리에 의해 발생한 찌꺼기가 승화되어 제거된다. 계속해서 웨이퍼(W)는 진공 분위기의 로드록실(13)에 반송되고, 이어서 로드록실(13)을 대기 분위기로 전환한 후, 웨이퍼(W)를 반송 기구(15)에 의해 취출하고, 냉각 장치(14)로, 웨이퍼(W)의 온도를 조정한 후, 예컨대 원래의 반송 용기(C)로 되돌린다.In the processing space S, the SiN film is etched by radicals such as F, NF 2 , O and H. After that, the wafer W is transferred to the PHT device by the transfer mechanism 16, and a heat treatment is performed. Thereby, the debris generated by the etching treatment is sublimated and removed. Subsequently, the wafer W is transported to the load lock chamber 13 in a vacuum atmosphere, and after the load lock chamber 13 is switched to the atmospheric atmosphere, the wafer W is taken out by the transport mechanism 15, and a cooling device In (14), after adjusting the temperature of the wafer W, it is returned to the original transfer container C, for example.

상술의 실시 형태에 의하면, 처리 용기(20) 내에 탑재된 웨이퍼(W)에 가스를 공급해서 처리하는 플라즈마 처리 장치에 있어서, 처리 용기(20) 내를 구획부(5)에 의해, NF3 가스, O2 가스 및 H2 가스를 여기하는 플라즈마 공간(P)과, 웨이퍼(W)에 라디칼 처리를 행하는 처리 공간(S)으로 구획하고 있다. 그리고 플라즈마 공간(P)에서 여기한 NF3 가스, O2 가스 및 H2 가스를 이온 트랩부(51)에 형성한 슬릿(53, 54) 및 샤워판(4)에 형성한 슬릿(42)을 거쳐서 라디칼로서 처리 공간(S)에 공급함과 아울러, 샤워판(4)의 하면으로부터 NF3 가스, O2 가스 및 H2 가스와 독립해서, Ar 가스를 공급하도록 구성하고 있다. 또한 Ar 가스를 공급할 때에, 탑재대(3)의 중앙 영역측으로부터 Ar 가스를 공급하는 중앙측 가스 공급부와, 탑재대(3)의 주연부 영역측으로부터 Ar 가스를 공급하는 주연부측 가스 공급부를 마련하고 있다. 그 때문에 Ar 가스를 탑재대(3)의 중심측과 탑재대(3)의 주연부측으로 독립해서 공급량을 조정할 수 있어, 웨이퍼(W)에 공급되는 라디칼의 면내 분포를 조정할 수 있기 때문에, 웨이퍼(W)의 플라즈마 처리의 면내 분포를 조정할 수 있다.According to the above-described embodiment, in the plasma processing apparatus for processing by supplying gas to the wafer W mounted in the processing container 20, the NF 3 gas is formed in the processing container 20 by the partition unit 5. , A plasma space P that excites the O 2 gas and the H 2 gas, and a processing space S that performs radical treatment on the wafer W. Then, the slits 53 and 54 formed in the ion trap unit 51 and the slit 42 formed in the shower plate 4 with NF 3 gas, O 2 gas and H 2 gas excited in the plasma space P were formed. Through this, the radical is supplied to the processing space S, and Ar gas is supplied independently of the NF 3 gas, the O 2 gas and the H 2 gas from the lower surface of the shower plate 4. Further, when supplying Ar gas, a central gas supply unit for supplying Ar gas from the central region side of the mounting table 3 and a peripheral gas supply unit for supplying Ar gas from the peripheral region region side of the mounting table 3 are provided. have. Therefore, the amount of Ar gas supplied to the center side of the mounting table 3 and the peripheral edge side of the mounting table 3 can be independently adjusted, and the in-plane distribution of radicals supplied to the wafer W can be adjusted. ), the in-plane distribution of plasma treatment can be adjusted.

또 예를 들면 처리 용기(20) 내에 있어서의 NF3 가스, O2 가스 및 H2 가스의 공급 위치 등에 따라서는, 처리 공간(S)에 있어서의 중앙 영역측이 NF3 가스, O2 가스 및 H2 가스의 라디칼의 농도가 높아져 버리는 경우가 있다. 이러한 웨이퍼(W)의 중심측의 에칭량을 낮게 억제하고 싶은 경우에는, 중앙측 가스 공급부로부터 공급하는 Ar 가스의 양이 상대적으로 많아지도록 조정함으로써, 웨이퍼(W)의 중심측의 에칭량을 웨이퍼(W)의 주연부측에 있어서의 에칭량에 대해서 상대적으로 낮게 억제할 수 있다.Further, for example, depending on the supply position of the NF 3 gas, O 2 gas, and H 2 gas in the processing container 20, the central region side in the processing space S is NF 3 gas, O 2 gas, and The concentration of radicals in the H 2 gas may increase. When it is desired to reduce the amount of etching at the center side of the wafer W, by adjusting the amount of Ar gas supplied from the center side gas supply unit relatively large, the amount of etching at the center side of the wafer W is reduced to the wafer. It can be suppressed relatively low with respect to the etching amount in the peripheral part side of (W).

또한 샤워판(4)을 판상체(40)로 구성할 수 있기 때문에 두께가 얇아져, 이온 트랩부(51)와 조합해서 이용하는 경우에도, 장치의 대형화를 피할 수 있다.Further, since the shower plate 4 can be constituted by the plate-like body 40, the thickness becomes thin, and even when used in combination with the ion trap portion 51, an increase in the size of the device can be avoided.

또한 예를 들면 플라즈마 공간(P)측에 NF3 가스 등을 플라즈마화시키는 처리 가스를 공급하고, 샤워판(4)의 하면으로부터 NH3 가스 등을 플라즈마화시키지 않고 웨이퍼(W)에 공급하는 플라즈마 처리 장치이어도 좋다. 이러한 예로서는, 예를 들면 SiO2막을 COR(chemical Oxide Removal) 법에 의해, 제거하는 플라즈마 처리 장치를 들 수 있다. 이 플라즈마 처리 장치에서는, 에천트인 NH4F를 생성해서 웨이퍼(W)의 표면에 흡착시키고, NH4F와 SiO2를 반응시켜 AFS(플루오르 규산 암모늄)를 생성하지만, NH3 가스를 플라즈마화하면 NH4F가 생성되지 않는다. 그 때문에 플라즈마 공간(P)에 NF3 가스를 공급해서 플라즈마화함과 아울러, NH3 가스를 플라즈마 공간(P)을 통과시키지 않고 샤워판(4)의 하면으로부터 공급한다. 이러한 예에서도 중앙측 가스 토출 구멍(41A)으로부터 공급되는 NH3 가스의 공급량과 주연부측 가스 토출 구멍(41B)으로부터 공급되는 NH3 가스의 공급량을 조정함으로써, NH3 가스의 면내 분포를 조정하고, 웨이퍼(W)의 표면에 있어서의 NH4F의 공급량의 면내 분포를 조정할 수 있기 때문에, 마찬가지의 효과를 얻을 수 있다.In addition, for example, plasma processing in which a processing gas for converting NF 3 gas into plasma is supplied to the plasma space P side, and NH 3 gas or the like is supplied from the lower surface of the shower plate 4 to the wafer W without plasma forming. It may be a device. As such an example, the plasma processing apparatus which removes the SiO 2 film by the COR (chemical oxide removal) method is mentioned, for example. In this plasma processing apparatus, NH 4 F, which is an etchant, is generated and adsorbed on the surface of the wafer W, and NH 4 F and SiO 2 are reacted to produce AFS (ammonium fluorosilicate). However, when NH 3 gas is converted into plasma NH 4 F is not produced. Therefore, the NF 3 gas is supplied to the plasma space P to form plasma, and the NH 3 gas is supplied from the lower surface of the shower plate 4 without passing through the plasma space P. Even in this example, by adjusting the supply amount of the NH 3 gas supplied from the center side gas discharge hole 41A and the supply amount of the NH 3 gas supplied from the peripheral side gas discharge hole 41B, the in-plane distribution of the NH 3 gas is adjusted, Since the in-plane distribution of the supply amount of NH 4 F on the surface of the wafer W can be adjusted, the same effect can be obtained.

또 플라즈마가 이온 트랩부(51)에 충돌하면 이온 트랩부(51)가 열을 축적하는 경우가 있다. 이온 트랩부(51)를 통과하는 라디칼 등은 열 분포에 의해, 그 분포가 편향되는 경우가 있고, 이온 트랩부(51)의 열 분포에 의해 처리 공간(S)의 라디칼의 분포가 영향을 받는 경우가 있다. 상술의 실시 형태에서는, 샤워판(4)을 알루미늄판으로 구성하고 있다. 이온 트랩부(51)의 하부에 알루미늄판 등의 차열 부재(heat shield member)를 마련함으로써, 이온 트랩부(51)의 열의 처리 공간(S)으로의 복사를 차단할 수 있다. 그 때문에, 이온 트랩부(51)의 열의 영향으로 인한 처리 공간(S)의 라디칼 분포의 편향을 억제할 수 있어, 처리 공간(S)에 있어서의 라디칼의 농도 분포를 정밀도 좋게 조정할 수 있다.Further, when plasma collides with the ion trap portion 51, the ion trap portion 51 may accumulate heat. Radicals passing through the ion trap unit 51 may be deflected due to heat distribution, and the distribution of radicals in the processing space S is affected by the heat distribution of the ion trap unit 51. There are cases. In the above-described embodiment, the shower plate 4 is made of an aluminum plate. By providing a heat shield member such as an aluminum plate under the ion trap unit 51, it is possible to block the radiation of heat from the ion trap unit 51 to the processing space S. Therefore, the deflection of the radical distribution in the processing space S due to the influence of the heat of the ion trap unit 51 can be suppressed, and the concentration distribution of the radicals in the processing space S can be accurately adjusted.

또한 플랜지(400)를 마련한 샤워판(4)을 차열 부재로 구성하고, 플랜지(400)를 처리 용기(20)에 접촉하도록 마련함으로써, 샤워판(4)의 열이 처리 용기(20)를 거쳐서 확산하기 때문에, 차열의 효과가 향상된다. 또한 제 2 가스를 공급하는 중앙측 가스 공급로(44) 및 주연부측 가스 공급로(46)을 샤워판(4)의 내부에 마련함으로써, 중앙측 가스 공급로(44) 및 주연부측 가스 공급로(46)에 가스를 흐르게 하는 것으로, 샤워판(4)의 열의 확산을 촉진할 수 있기 때문에 보다 효과가 커진다. 또 이온 트랩부(51)도, 플라즈마의 분포에 의한 열 분포가 상이하고, 처리 공간(S)측에 복사하는 열의 분포도 상이해진다. 그 때문에 샤워판(4)의 중심측의 내부에 관통 마련된 중앙측 가스 공급로(44)와, 주연부측의 내부에 관통 마련된 주연부측 가스 공급로(46)에 각각 독립해서 가스를 공급할 수 있도록 구성함으로써, 이온 트랩부(51)의 열 분포에 맞추어, 샤워판(4)에 있어서의 가스를 흐르게 하는 영역을 변경할 수 있기 때문에, 보다 샤워판(4)의 열을 효율적으로 확산할 수 있다.In addition, by configuring the shower plate 4 provided with the flange 400 as a heat shielding member, and providing the flange 400 to contact the processing container 20, the heat of the shower plate 4 passes through the processing container 20. Because of diffusion, the heat shielding effect is improved. In addition, by providing the central gas supply path 44 and the peripheral gas supply path 46 for supplying the second gas inside the shower plate 4, the central gas supply path 44 and the peripheral gas supply path By allowing gas to flow through 46, since the diffusion of heat of the shower plate 4 can be promoted, the effect is greater. In addition, the ion trap portion 51 also has a different heat distribution due to the distribution of plasma, and the distribution of heat radiated to the processing space S side is also different. Therefore, the gas can be supplied independently to the central gas supply path 44 provided through the center side of the shower plate 4 and the peripheral gas supply path 46 provided through the inside of the peripheral part side. By doing so, since the region in which the gas flows in the shower plate 4 can be changed in accordance with the heat distribution of the ion trap part 51, the heat of the shower plate 4 can be more efficiently diffused.

그런데 도 6에서 설명한 바와 같이, 주연부측 가스 도입로(405)가 가스 확산 유로(45)를 길이 방향으로 2 등분하는 위치에 접속되어 있기 때문에, 가스 확산 유로(45)의 좌우 방향에 있어서 가스의 유량을 균일성 높게 분산시킬 수 있다. 그와 같이 가스 확산 유로(45)에서 분산한 가스가 각 주연부측 가스 공급로(46)에 유입하기 때문에, 주연부측 가스 공급로(46)의 하류 측에 마련되는 각 주연부측 가스 토출 구멍(41)으로부터, 균일성 높게 가스를 토출할 수 있다.However, as described in Fig. 6, since the gas introduction path 405 on the periphery side is connected to a position where the gas diffusion flow path 45 is divided into two equal parts in the length direction, the gas diffusion flow path 45 The flow rate can be distributed with high uniformity. Since the gas dispersed in the gas diffusion passage 45 in this way flows into the gas supply passages 46 on the periphery side, the gas discharge holes 41 on the peripheries are provided on the downstream side of the gas supply passages 46 on the periphery side. ), the gas can be discharged with high uniformity.

여기서, 주연부측 가스 도입로(405)에 있어서 가스가 좌우 방향 중 한쪽을 향해서 흐르고 있다. 그 때문에, 이 주연부측 가스 도입로(405)의 하류단을 직접 가스 확산 유로(45)의 길이 방향의 중앙부에 접속하는, 즉 상술한 접속 유로(404)를 거치지 않고 가스 확산 유로(45)에 가스를 도입하는 구성으로 하는 것보다도, 해당 확산 유로(45)에 가스를 공급하고, 가스 확산 유로(45)에 가스를 유통시켜 원호의 법선 방향으로 정류시킨 후에 가스 확산 유로(45)에 도입하는 도 6에서 설명한 구성이, 가스 확산 유로(45)의 좌우 방향에 있어서, 보다 균일성 높게 가스를 확산시킬 수 있기 때문에, 바람직하다.Here, in the gas introduction path 405 on the peripheral side, the gas flows toward one of the left and right directions. Therefore, the downstream end of the gas introduction path 405 on the periphery is directly connected to the center portion in the longitudinal direction of the gas diffusion flow path 45, that is, to the gas diffusion flow path 45 without passing through the connection flow path 404 described above. Rather than having a configuration in which gas is introduced, gas is supplied to the diffusion flow path 45, the gas is circulated through the gas diffusion flow path 45, and rectified in the direction of the normal of the arc, and then introduced into the gas diffusion flow path 45. The configuration described with reference to FIG. 6 is preferable because the gas can be diffused more uniformly in the left-right direction of the gas diffusion passage 45.

또 접속 유로(404)에 있어서의 가스 흐름의 편향을 없애서, 해당 가스의 직진성을 양호하게 하고, 가스 확산 유로(45)에 있어서의 가스 분포의 균일성을 높게 하기 위해서, 접속 유로(404)의 폭(d)은 주연부측 가스 도입로(405)의 폭(D)보다 가는 것이 바람직하다. 또 그와 같이 접속 유로(404)에 있어서의 가스의 흐름의 편향을 없애기 위해서 접속 유로(404)는, 그 길이(L)가 폭(d)에 대해서, 상술한 바와 같이 2배 이상(L≥2d)인 것이 바람직하다.Further, in order to eliminate the deflection of the gas flow in the connection flow path 404, improve the straightness of the gas, and increase the uniformity of the gas distribution in the gas diffusion flow path 45, the connection flow path 404 It is preferable that the width d is smaller than the width D of the gas introduction path 405 on the periphery side. In addition, in order to eliminate the deflection of the flow of gas in the connection flow path 404 as described above, the connection flow path 404 has a length (L) of twice or more (L≥ It is preferably 2d).

또 주연부측 가스 도입로(405)에 있어서의 하류측 단부를 상류측에 비해 확장한 구조로 하고, 접속 유로(404)에 유입되는 가스를 가스 도입로(405)의 하류측 단부에서 일단 체류시킨 후, 접속 유로(404)로 유입하도록 해도 좋다. 이와 같이 구성함으로써, 유속을 느리게 한 가스를 접속 유로(404)에 유입시킬 수 있기 때문에, 접속 유로(404)에 있어서의 가스의 직진성이 양호하게 된다.In addition, the downstream end of the gas introduction path 405 on the periphery side is expanded compared to the upstream side, and the gas flowing into the connection flow path 404 is temporarily retained at the downstream end of the gas introduction path 405. After that, it may flow into the connection flow path 404. By configuring in this way, since the gas with a slow flow rate can be introduced into the connection flow path 404, the straightness of the gas in the connection flow path 404 is improved.

또 본 발명은 제 2 가스 공급부를 이루는 중앙측 가스 토출 구멍(41A) 및 주연부측 가스 토출 구멍(41B)으로부터 공급하는 가스를 복수 종류의 가스 사이에서 교체되도록 구성해도 좋다. 예를 들면 도 12에 나타내는 바와 같이 제 2 가스 공급부를 구성하는 중심측 가스 도입 포트(402) 및 주연부측 가스 도입 포트(403)에, Ar 가스와 산화막 제거용의 가스인 불화 수소(HF) 가스를 각각 독립해서 공급할 수 있는 구성으로 한다. 이와 같이 Ar 가스 및 HF 가스를 공급 가능한 장치를 기판 처리 장치(1A)라 한다. 각 포트(402, 403)로의 Ar 가스 및 HF 가스의 공급이 가능한 것을 제외하고, 이 기판 처리 장치(1A)는 플라즈마 처리 장치(2)와 마찬가지의 구성이다. 또한 도 12의 480은 HF 가스 공급원이다. 또 V7, V8는 밸브이며, M7, M8는 유량 조정부이다.In addition, the present invention may be configured such that the gas supplied from the center side gas discharge hole 41A and the peripheral side gas discharge hole 41B constituting the second gas supply unit is replaced between a plurality of types of gases. For example, as shown in Fig. 12, in the center side gas introduction port 402 and the peripheral side gas introduction port 403 constituting the second gas supply unit, Ar gas and hydrogen fluoride (HF) gas, which is a gas for removing an oxide film. Each can be supplied independently. A device capable of supplying Ar gas and HF gas in this way is referred to as a substrate processing device 1A. This substrate processing apparatus 1A has the same configuration as the plasma processing apparatus 2 except that Ar gas and HF gas can be supplied to each of the ports 402 and 403. Further, 480 in FIG. 12 is an HF gas source. Further, V7 and V8 are valves, and M7 and M8 are flow rate adjustment units.

도 13은 기판 처리 장치(1A)에서 처리되는 피처리 기판인 웨이퍼(W)를 나타낸다. 이 웨이퍼(W)는 예를 들면 3D NAND 구조를 구비한 디바이스를 형성할 때에 이용되고, 실리콘 질화막(SiN막)(200)과, 실리콘 산화막(SiO2막)(201)이 교대로 각각 복수층 적층되어 있고, 이들 막을 관통하도록 메모리 홀(202)이 형성되어 있다. 기판 처리 장치(1A)의 처리 전에, 메모리 홀(202)의 측벽을 이루는 SiN막(200)의 표면에는 얇은 자연 산화막(203)이 형성되어 있다. 이 기판 처리 장치(1A)의 처리를 개략 설명하면, 상기의 자연 산화막(203)의 제거 후에 메모리 홀(202)의 측벽을 이루는 SiN막(200)의 표층을 에칭한다. 그러나, 이 에칭 처리 후에 SiN막(200)의 표면에 산화막이 형성되어 있는 경우가 있다. 그와 같이 산화막이 형성되어 있으면, 후속 공정에서 메모리 홀(202) 내로의 막의 매립이 정상적으로 행해지지 않을 우려가 있다. 그래서, 이 기판 처리 장치(1A)는 에칭 후에 산화막을 제거하고, 상기 막의 정상적인 매립이 저해되는 것을 막는다.13 shows a wafer W that is a substrate to be processed processed by the substrate processing apparatus 1A. This wafer W is used, for example, when forming a device having a 3D NAND structure, and a silicon nitride film (SiN film) 200 and a silicon oxide film (SiO 2 film) 201 are alternately formed in multiple layers. They are stacked and a memory hole 202 is formed to penetrate these films. Before processing of the substrate processing apparatus 1A, a thin natural oxide film 203 is formed on the surface of the SiN film 200 forming the sidewall of the memory hole 202. The processing of the substrate processing apparatus 1A will be outlined. After the natural oxide film 203 is removed, the surface layer of the SiN film 200 forming the sidewall of the memory hole 202 is etched. However, there are cases where an oxide film is formed on the surface of the SiN film 200 after this etching treatment. If the oxide film is formed in this way, there is a fear that the film may not be normally filled in the memory hole 202 in a subsequent step. Thus, this substrate processing apparatus 1A removes the oxide film after etching, and prevents the normal filling of the film from being inhibited.

이 기판 처리 장치(1A)를 이용한 기판 처리의 일례에 대해 보다 자세하게 설명한다. 우선 도 13에 나타내는 웨이퍼(W)가 기판 처리 장치(1A) 내에 탑재되면, 메모리 홀(202)의 측면의 자연 산화막(203)의 제거 처리를 행한다. 이 경우에는, 처리 용기(2) 내를 진공 배기하고, 고주파 전원(29)을 오프로 한 상태에서, 도 14에 나타내는 바와 같이 샤워판(4)에 형성된 중앙측 가스 토출 구멍(41A), 주연부측 가스 토출 구멍(41B)으로부터 처리 공간(S)에 HF 가스를 공급한다. 또한 도 14 및 도 15에 있어서는, 열려 있는 밸브를 흰색으로 나타내고, 닫혀지고 있는 밸브를 검은 색으로 나타내고 있다. 이때 각 중앙측 가스 토출 구멍(41A)에 가스를 도입하는 중앙측 가스 도입 포트(402)에 공급되는 HF 가스의 유량과, 주연부측 가스 토출 구멍(41B)에 가스를 도입하는 2개의 주연부측 가스 도입 포트(403)에 공급되는 HF 가스의 유량은 예를 들면 서로 같아도 좋다. 상기와 같이 처리 공간(S)에 공급된 HF 가스의 작용에 의해, 메모리 홀(202)의 내면에 형성된 자연 산화막(203)이 제거된다.An example of the substrate processing using this substrate processing apparatus 1A will be described in more detail. First, when the wafer W shown in FIG. 13 is mounted in the substrate processing apparatus 1A, the natural oxide film 203 on the side surface of the memory hole 202 is removed. In this case, in a state in which the inside of the processing container 2 is evacuated and the high frequency power supply 29 is turned off, as shown in Fig. 14, the central gas discharge hole 41A formed in the shower plate 4 and the peripheral portion HF gas is supplied from the side gas discharge hole 41B to the processing space S. 14 and 15, the open valve is shown in white, and the closed valve is shown in black. At this time, the flow rate of the HF gas supplied to the central gas introduction port 402 for introducing gas into each of the central gas discharge holes 41A, and the two peripheral side gases for introducing gas into the gas discharge holes 41B on the peripheral side The flow rates of the HF gas supplied to the introduction port 403 may be the same as each other, for example. The natural oxide film 203 formed on the inner surface of the memory hole 202 is removed by the action of the HF gas supplied to the processing space S as described above.

계속해서 도 15에 나타내는 바와 같이 H2 가스 공급원(37)으로부터 플라즈마 공간(P)에 SiN막(204)을 개질하기 위한 개질 가스인 H2 가스를 공급함과 아울러 처리 공간(S)으로의 HF 가스의 공급을 정지한다. 또한 고주파 전원(29)을 온으로 해서, 플라즈마를 여기한다. 이것에 의해 플라즈마 공간(P)에서 H2 가스가 활성화해서, H 라디칼이 웨이퍼(W)에 공급된다. 이 H 라디칼의 작용에 의해 SiN막(200)에 있어서의 SiN의 결합이 분리되어, SiN막(200)이 에칭되기 쉬워진다(SiN막(200)이 개질된다).Subsequently 15 represents H 2 gas supply source (37) from the plasma space (P) of the SiN film 204, the reformed gas is H 2 gas supplying as well as processing space (S) for for modifying the HF gas to as the Stop the supply of Further, the high-frequency power source 29 is turned on to excite the plasma. As a result, H 2 gas is activated in the plasma space P, and H radicals are supplied to the wafer W. By the action of the H radical, the bonds of SiN in the SiN film 200 are separated, and the SiN film 200 is easily etched (SiN film 200 is modified).

그 후 플라즈마 처리 장치(2)의 처리로서 도 10 및 도 11에서 설명한 바와 같이 SiN막(200)의 에칭 처리를 행한다. 이것에 의해 각각의 메모리 홀(202)의 측벽을 형성하는 SiN막(200)이 웨이퍼(W)의 면내에서 높은 균일성을 가지고 에칭된다.Thereafter, as the processing of the plasma processing apparatus 2, the SiN film 200 is etched as described in FIGS. 10 and 11. As a result, the SiN film 200 forming the sidewall of each memory hole 202 is etched with high uniformity in the plane of the wafer W.

그리고 메모리 홀(202) 내에 노출되어 있는 SiN막(200)이 수 nm의 두께로 에칭되면, 에칭이 종료된다. 이 SiN막(200)의 에칭은 각 메모리 홀(202)에 매립되는 막의 매립성을 양호하게 하기 위해서 행해진다. 또 에칭 종료시에 있어서의 메모리 홀(202)의 측벽을 이루는 SiN막(200)의 표면에는, 예를 들면 에칭에서 사용한 O2 가스의 작용에 의해 도 16에 나타내는 바와 같이 산화막(204)이 형성되어 있다.Then, when the SiN film 200 exposed in the memory hole 202 is etched to a thickness of several nm, the etching is terminated. This etching of the SiN film 200 is performed in order to improve the buriability of the film to be filled in each memory hole 202. Further, on the surface of the SiN film 200 forming the sidewall of the memory hole 202 at the end of the etching, for example, an oxide film 204 is formed as shown in FIG. 16 by the action of O 2 gas used in etching. have.

그 때문에 후처리로서, 자연 산화막(203)의 제거 처리 공정과 마찬가지로 도 14에 나타내는 바와 같이 플라즈마 공간(P)으로의 각 가스의 공급을 정지함과 아울러, 고주파 전원(29)을 오프로 한 상태에서, 샤워판(4)의 가스 토출 구멍(41A, 41B)으로부터 HF 가스를 공급한다. 이것에 의해 SiN막(200)의 표면에 성막된 산화막(204)를 제거할 수 있다.Therefore, as a post-treatment, as shown in Fig. 14, as shown in Fig. 14, similar to the removal treatment step of the natural oxide film 203, the supply of each gas to the plasma space P is stopped, and the high frequency power supply 29 is turned off. At, HF gas is supplied from the gas discharge holes 41A and 41B of the shower plate 4. Thereby, the oxide film 204 deposited on the surface of the SiN film 200 can be removed.

산화막(204)의 제거 후는, 예를 들면 상술의 실시 형태로 설명한 바와 같이, 웨이퍼(W)의 가열 처리를 행하고 웨이퍼(W)에 부착하고 있는 찌꺼기를 제거한다. 또한 웨이퍼(W)의 가열 처리는, 상술한 바와 같이 PHT 장치에 반송해서 행해도 좋고, 기판 처리 장치(1A)의 탑재대(3)에 가열부를 마련해서 기판 처리 장치(1A)에서 행해도 좋다.After the removal of the oxide film 204, for example, as described in the above-described embodiment, the wafer W is subjected to a heat treatment to remove debris adhering to the wafer W. In addition, the heat treatment of the wafer W may be carried out by conveying it to the PHT device as described above, or may be performed in the substrate processing device 1A by providing a heating unit on the mounting table 3 of the substrate processing device 1A. .

이 기판 처리 장치(1A)에 의하면 웨이퍼(W)의 면내에 있어서의 SiN막(200)을 높은 균일성을 가지고 에칭할 수 있다. 또, 에칭 후에 있어서 SiN막(200) 표면의 산화막(204)이 제거되므로, 메모리 홀(202)로의 막의 매립을 저해하는 것을 막을 수 있다.According to this substrate processing apparatus 1A, the SiN film 200 in the surface of the wafer W can be etched with high uniformity. In addition, since the oxide film 204 on the surface of the SiN film 200 is removed after etching, it is possible to prevent inhibiting the filling of the film into the memory hole 202.

또한 이 기판 처리 장치(1A)에 의하면, 자연 산화막(203)의 제거 처리, SiN의 결합을 분리해서 에칭하기 쉽게 하는 전(前)처리 및 에칭 처리 후의 산화막(204)의 제거 처리의 일련의 기판 처리를 동일한 처리 용기(20) 내에서 행할 수 있다. 따라서, 상기의 일련의 기판 처리를 행할 때에, 복수의 처리 용기(20) 사이에서 웨이퍼(W)의 반송을 행할 필요가 없기 때문에, 스루풋의 향상을 도모할 수 있다. 또한 자연 산화막(203)의 제거 처리 및 에칭만을 기판 처리 장치(1A)에서 행해도 좋고, 에칭 처리 및 산화막(204)의 제거 처리만을 기판 처리 장치(1A)에서 행해도 좋다.In addition, according to this substrate processing apparatus 1A, a series of substrates including a treatment for removing the natural oxide film 203, a pretreatment for separating the bonds of SiN and making it easier to etch, and a treatment for removing the oxide film 204 after the etching treatment. The processing can be performed in the same processing container 20. Therefore, when performing the above-described series of substrate processing, since it is not necessary to transfer the wafers W between the plurality of processing containers 20, the throughput can be improved. Further, only the removal treatment and etching of the natural oxide film 203 may be performed in the substrate processing apparatus 1A, and only the etching treatment and the removal treatment of the oxide film 204 may be performed in the substrate processing apparatus 1A.

또 에칭 처리의 전처리의 자연 산화막(203)의 제거 처리나, 에칭 처리의 후처리의 산화막(204)의 제거 처리는, HF 가스와 함께 NH3 가스를 공급하도록 구성해도 좋다. 또한 가스 공급구(34) 및 가스 공급구(34)에 가스를 공급하기 위한 가스 공급관(35), 각 밸브(V1~V3), 유량 조정부(M1~M3) 및 각 가스 공급원(36~38)은 제 1 가스 공급부를 이루고, 중앙측 가스 토출구(41A) 및 주연부측 가스 토출구(41B) 및 이들 중앙측 가스 토출구(41A) 및 주연부측 가스 토출구(41B)에 가스를 공급하기 위한 각 밸브(V4, V5), 유량 조정부(M4, M5) 및 Ar 가스 공급원(48)이 제 2 가스 공급부를 이루지만, HF 가스 및 NH3 가스는 제 1 가스 공급부와 제 2 가스 공급부 중 어느 하나로부터 공급해도 좋다. 또 개질 가스는 NH3 또는 H2O이어도 좋다.Further, the removal treatment of the natural oxide film 203 in the pretreatment of the etching treatment or the removal treatment of the oxide film 204 in the post treatment of the etching treatment may be configured to supply NH 3 gas together with the HF gas. In addition, a gas supply pipe 35 for supplying gas to the gas supply port 34 and the gas supply port 34, each valve (V1 to V3), a flow rate adjustment unit (M1 to M3), and each gas supply source (36 to 38) Each valve V4 for supplying gas to the center side gas discharge port 41A and the peripheral side gas discharge port 41B, and these center side gas discharge ports 41A and the peripheral side gas discharge port 41B, constitutes a first gas supply part. , V5), flow rate adjustment units (M4, M5) and Ar gas supply source 48 constitute the second gas supply unit, but HF gas and NH 3 gas may be supplied from either of the first gas supply unit and the second gas supply unit. . Further, the reforming gas may be NH 3 or H 2 O.

(제 2 실시 형태)(2nd embodiment)

제 2 실시 형태에 따른 기판 처리 장치에 대해 설명한다. 이 기판 처리 장치는, 도 2에 나타낸 플라즈마 처리 장치(2)와 구획부(5)의 일부를 구성하는 샤워판(8)의 구성이 다른 것을 제외하고 마찬가지로 구성되어 있다. 제 2 실시 형태에 따른 기판 처리 장치 샤워판(8)에 대해 도 17~도 20을 참조해서 설명한다. 또한 기재가 번잡하게 되는 것을 피하기 위해서, 샤워판(8)을 관통하는 슬릿(42)을 흑선으로 나타내고 있다. 도 17 및 도 18은 각각 상면측 및 하면측에서 본 샤워판(8)의 평면도를 나타낸다. 또 도 19 및 도 20은 각각 도 17 및 도 18에 나타낸 I 선 및 II 선에 있어서의 샤워판(8)의 종단면도이다.A substrate processing apparatus according to a second embodiment will be described. This substrate processing apparatus is configured in the same manner as the plasma processing apparatus 2 shown in FIG. 2 and the shower plate 8 constituting a part of the partition section 5 are different from each other. A substrate processing apparatus shower plate 8 according to a second embodiment will be described with reference to FIGS. 17 to 20. In addition, in order to avoid the base material becoming crowded, the slit 42 penetrating the shower plate 8 is indicated by a black line. 17 and 18 show plan views of the shower plate 8 viewed from the top and bottom sides, respectively. 19 and 20 are longitudinal sectional views of the shower plate 8 along the lines I and II shown in Figs. 17 and 18, respectively.

도 17, 도 19 및 도 20에 나타내는 바와 같이 샤워판(8)의 상면측(플라즈마 공간(P)측)에 있어서의, 샤워판(8)의 전방 및 후방에 있어서의 플랜지(400)의 내부에는, 각각 샤워판(8)의 하면 주연부측으로부터 토출하는 Ar 가스를 좌우 방향으로 확산하는 주연부측 가스 확산 유로(91)가 형성되어 있다. 또 도 18, 도 19 및 도 20에 나타내는 바와 같이 샤워판(8)의 하면측에 있어서의 샤워판(8)의 전방 및 후방에 있어서의 플랜지(400)의 내부에는, 각각 샤워판(8)의 하면 중심부측으로부터 토출하는 Ar 가스를 좌우 방향으로 확산하는 중앙측 가스 확산 유로(92)가 형성되어 있다. 또 샤워판(8)의 내부에는, 샤워판(8)을 전방측으로부터 후방측까지 관통하고, 플랜지(400) 내에 있어서의 중앙측 가스 확산 유로(92)가 형성된 높이 위치보다 위쪽에 있어서, 주연부측 가스 확산 유로(91)의 아래쪽에 각 단부가 위치하도록 형성된 가스 유로(93)가 좌우 방향으로 배열되어 형성되어 있다. 또한 도 17 및 도 18에서는 주연부측 가스 확산 유로(91)의 천정면 및 중앙측 가스 확산 유로(92)의 하면이 개방되도록 나타내고 있지만, 도 19 및 도 20에 나타내는 바와 같이 주연부측 가스 확산 유로(91)의 천정면 및 중앙측 가스 확산 유로(92)의 하면은 모두 판 형상 부재에 의해 폐쇄되어 있다.17, 19, and 20, the inside of the flange 400 in the front and the rear of the shower plate 8 in the upper surface side of the shower plate 8 (the plasma space P side) Each of the shower plates 8 is provided with a gas diffusion flow path 91 on the periphery side that diffuses Ar gas discharged from the periphery side of the lower surface of the shower plate 8 in the left-right direction. In addition, as shown in Figs. 18, 19 and 20, in the inside of the flange 400 in the front and rear of the shower plate 8 on the lower surface side of the shower plate 8, the shower plate 8 The center side gas diffusion flow path 92 is formed to diffuse the Ar gas discharged from the center side of the lower surface in the left-right direction. In addition, in the interior of the shower plate 8, the shower plate 8 penetrates from the front side to the rear side, and above the height position where the center side gas diffusion flow path 92 in the flange 400 is formed, the peripheral portion Gas flow paths 93 formed so as to have their respective ends positioned below the side gas diffusion flow path 91 are arranged in a horizontal direction. In Figs. 17 and 18, the ceiling surface of the gas diffusion flow path 91 on the periphery side and the lower surface of the gas diffusion flow path 92 on the center side are shown to be open, but as shown in Figs. 19 and 20, the gas diffusion flow path ( The ceiling surface of 91) and the lower surface of the center gas diffusion passage 92 are both closed by a plate-like member.

좌우로 배열된 가스 유로(93) 내의 안쪽의 유로(중앙 영역을 횡단하는 가스 유로(93))에 있어서는, 그 전후의 단부의 상면측에 연통로(96)가 마련되고 주연부측 가스 확산 유로(91)에 접속되는 가스 유로(93a)와, 그 전후의 단부의 하면측에 연통로(97)가 마련되고 중앙측 가스 확산 유로(92)에 접속되는 가스 유로(93b)가 교대로 배열되어 있다. 또 가스 유로(93) 내의 외측 부근의 유로(중앙 영역을 횡단하지 않는 가스 유로(93))는 모두 그 전후의 단부의 상면측에 연통로(96)가 마련되고, 주연부측 가스 확산 유로(91)에 접속된 가스 유로(93a)만으로 되어 있다.In the inner flow path (gas flow path 93 that crosses the central region) in the gas flow path 93 arranged left and right, a communication path 96 is provided on the upper surface side of the front and rear ends thereof, and the gas diffusion flow path on the periphery side ( A gas flow path 93a connected to 91, a communication path 97 is provided on the lower surface side of the front and rear ends thereof, and the gas flow paths 93b connected to the center gas diffusion flow path 92 are alternately arranged. . In addition, a communication path 96 is provided on the upper surface side of the front and rear ends of the flow paths (gas flow paths 93 that do not cross the central region) in the outer vicinity of the gas flow path 93, and the gas diffusion flow path 91 on the peripheral side ) Connected to the gas flow path 93a.

또한 도 18 및 도 19에 나타내는 바와 같이 주연부측 가스 확산 유로(91)에 접속된 가스 유로(93a)에는, 샤워판(8)의 하면의 주연부측의 영역에 토출 구멍(95)이 형성되어 있다. 또 도 18 및 도 20에 나타내는 바와 같이 중앙측 가스 확산 유로(92)에 접속되는 가스 유로(93b)에 있어서는, 샤워판(8)의 하면의 중앙 영역에 토출 구멍(94)이 복수 형성되어 있다.Further, as shown in Figs. 18 and 19, in the gas flow path 93a connected to the gas diffusion flow path 91 on the peripheral side, a discharge hole 95 is formed in a region on the peripheral edge side of the lower surface of the shower plate 8. . 18 and 20, in the gas flow path 93b connected to the center gas diffusion flow path 92, a plurality of discharge holes 94 are formed in the central region of the lower surface of the shower plate 8 .

그리고 각 주연부측 가스 확산 유로(91)는, 도 6에 나타낸 샤워판(4)에 있어서의 주연부측 가스 확산 유로(45)와 마찬가지로 접속 유로(404) 및 주연부측 가스 도입로(405)를 거쳐서, 주연부측 가스 도입 포트(403)에 접속되어 있다. 또한 주연부측 가스 도입 포트(403)에는, 예를 들면 도 6에 나타낸 주연부측 가스 공급관(49)이 접속되고, Ar 가스를 주연부측 가스 확산 유로(91)를 거쳐서 가스 유로(93a)에 공급하도록 구성되어 있다. 또 각 중앙측 가스 확산 유로(92)도 접속 유로(406), 중앙측 가스 도입로(407)를 거쳐서 중앙측 가스 도입 포트(402)에 접속되어 있다. 접속 유로(406)는 접속 유로(404)와 마찬가지로, 중앙측 가스 도입로(407) 및 중앙측 가스 확산 유로(92)와 직교하도록 마련됨과 아울러, 접속 유로(406)의 유로의 폭은 중앙측 가스 도입로(407)의 유로의 폭보다 좁고, 접속 유로(406)의 길이는 접속 유로(406)의 유로의 폭의 2배 이상의 길이이다.And each of the periphery side gas diffusion passages 91 pass through the connection passage 404 and the periphery side gas introduction passage 405 like the periphery side gas diffusion passages 45 in the shower plate 4 shown in FIG. 6. , Connected to the gas introduction port 403 on the periphery side. Further, to the peripheral portion side gas introduction port 403, for example, the peripheral portion side gas supply pipe 49 shown in FIG. 6 is connected, and Ar gas is supplied to the gas flow passage 93a via the peripheral portion side gas diffusion flow passage 91. Consists of. Moreover, each center side gas diffusion flow path 92 is also connected to the center side gas introduction port 402 via a connection flow path 406 and a center side gas introduction path 407. The connection flow path 406 is provided so as to be orthogonal to the center gas introduction path 407 and the center gas diffusion flow path 92, similar to the connection flow path 404, and the width of the flow path of the connection flow path 406 is It is narrower than the width of the flow path of the gas introduction path 407, and the length of the connection flow path 406 is at least twice the width of the flow path of the connection flow path 406.

중앙측 가스 도입 포트(402)에는, 예를 들면 도 6에 나타낸 중앙측 가스 공급관(47)이 접속되고, Ar 가스를 중앙측 가스 확산 유로(92)를 거쳐서 가스 유로(93b)에 공급하도록 구성되어 있다. 또한 샤워판(8)에 있어서의 인접하는 가스 유로(93)(93a, 93b)의 간극에는, 플라즈마 공간(P)측에서 여기된 제 1 가스, 예를 들면 라디칼을 처리 공간(S)측에 공급하기 위한 슬릿(42)이 형성되어 있다.The central gas introduction port 402 is connected to, for example, the central gas supply pipe 47 shown in FIG. 6, and Ar gas is supplied to the gas flow path 93b via the central gas diffusion flow path 92. Has been. Further, in the gap between the adjacent gas flow paths 93 (93a, 93b) in the shower plate 8, a first gas excited from the plasma space P side, for example, a radical, is transferred to the processing space S side. A slit 42 for feeding is formed.

이와 같은 샤워판(8)에 있어서는, 제 1 실시 형태로 나타낸 샤워판(4)과 마찬가지로 주연부측 가스 공급관(49)으로부터 공급되는 가스가 주연부측 가스 확산 유로(91)에 의해 가스 유로(93a)의 배열 방향으로 유량을 균일하게 하도록 확산한 후, 각 가스 유로(93a)에 공급된다. 또한 중앙측 가스 공급관(47)으로부터 공급하는 가스가, 중앙측 가스 확산 유로(92)에서 가스 유로(93b)의 배열로 유량을 균일하게 하도록 확산한 후, 각 가스 유로(93b)에 공급된다. 그 때문에 샤워판(8)의 주연부 영역에 공급되는 가스뿐만 아니라, 중앙 영역에 공급하는 가스의 유량이 가스 유로(93b)의 배열 방향(좌우 방향)으로 균일하게 된다.In such a shower plate 8, similarly to the shower plate 4 shown in the first embodiment, the gas supplied from the gas supply pipe 49 on the periphery is supplied by the gas diffusion flow path 91 on the periphery to the gas flow path 93a. After spreading so as to make the flow rate uniform in the arrangement direction of, it is supplied to each gas flow path 93a. Further, the gas supplied from the center side gas supply pipe 47 is diffused so that the flow rate is uniform in the arrangement of the gas flow paths 93b in the center side gas diffusion flow path 92, and then supplied to each gas flow path 93b. Therefore, not only the gas supplied to the peripheral region of the shower plate 8 but also the flow rate of the gas supplied to the central region are uniform in the arrangement direction (left and right direction) of the gas flow path 93b.

따라서, 샤워판(8)의 중앙 영역측으로부터 공급하는 제 2 가스와, 주연부측으로부터 공급하는 제 2 가스를 각각 균일하게 토출할 수 있다. 그 때문에 웨이퍼(W)의 중심측 및 주연부측에 공급되는 제 2 가스의 면내 분포를 각각 균일하게 할 수 있고, 웨이퍼(W)에 공급하는 제 2 가스의 면내 균일성을 조정할 때에, 보다 정밀도 좋게 조정할 수 있다.Accordingly, the second gas supplied from the central region side of the shower plate 8 and the second gas supplied from the peripheral portion side can be uniformly discharged, respectively. Therefore, the in-plane distribution of the second gas supplied to the center side and the peripheral portion of the wafer W can be made uniform, respectively, and when adjusting the in-plane uniformity of the second gas supplied to the wafer W, more accurately Can be adjusted.

(제 3 실시 형태)(3rd embodiment)

또 본 발명은 가스를 플라즈마화하는 플라즈마 공간을 대신해서, 가스를 프리믹스하는 확산 공간을 구비한 기판 처리 장치에서도 좋다. 예를 들면 NF3 가스, Ar 가스, O2 가스, H2 가스 등의 가스를 프리믹스해서 처리 공간에 공급함과 아울러, 처리 공간에 직접, 예를 들면 HF 가스나 NH3 가스 등의 포스트믹스용의 가스를 공급해서 처리를 행하는 기판 처리 장치에 대해 설명한다. 웨이퍼(W)에 가스 처리를 행하는 가스 처리부는 상술의 플라즈마 처리 장치의 처리 용기(20)와 마찬가지로 2개를 연결한 구성이어도 좋지만, 여기에서는 1개의 처리 용기(210)를 구비한 예에 대해 설명한다. 도 21에 나타내는 바와 같이, 원통형의 처리 용기(210)와, 처리 용기(210)의 천정판 부분에 샤워 헤드(7)를 마련해서 구성되어 있다. 또한 도면의 21, 22는 게이트 밸브 및 반송구, 61, 62 및 6은 플라즈마 처리 장치(2)와 마찬가지로 구성된 배기구, 배기관 및 진공 배기부이다. 또한 처리 용기 내에는, 플라즈마 처리 장치(2)와 마찬가지로 탑재대(3)가 마련되어 있다.Further, the present invention may be a substrate processing apparatus having a diffusion space for premixing gas in place of a plasma space for converting gas to plasma. For example, gas such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas is premixed and supplied to the processing space, and directly to the processing space, for example, for post-mixing of HF gas or NH 3 gas. A substrate processing apparatus that performs processing by supplying a gas will be described. The gas processing unit that performs gas processing on the wafer W may have a configuration in which two are connected as in the processing vessel 20 of the plasma processing apparatus described above, but an example in which one processing vessel 210 is provided is described here. do. As shown in FIG. 21, the cylindrical processing container 210 and the shower head 7 are provided in the ceiling plate part of the processing container 210, and are comprised. In the drawings, reference numerals 21 and 22 denote a gate valve and a conveying port, and reference numerals 61, 62 and 6 denote an exhaust port, an exhaust pipe, and a vacuum exhaust unit configured similarly to the plasma processing apparatus 2. In addition, in the processing container, a mounting table 3 is provided similarly to the plasma processing apparatus 2.

샤워 헤드(7)의 구성에 대해 도 21~도 23을 참조해서 설명한다. 샤워 헤드(7)는 제 1 가스를 확산시키는 확산 공간(D)을 구성하는 확산 부재(71)와, 처리 공간(S)에 가스를 분출하는 샤워 부재(72)를 구비하고, 도 21에 나타내는 바와 같이 탑재대(3)측으로부터 샤워 부재(72)와 확산 부재(71)를 이 순서로 겹쳐서 형성되어 있다. 확산 부재(71)의 바닥판(71a) 및 샤워 부재(72)는, 웨이퍼(W)의 처리를 행하는 처리 공간(S)과 가스를 확산하는 확산 공간(D)으로 구획하는 구획부에 상당한다. 또한 도 21~도 23은 모식적으로 나타낸 것이고, 토출 구멍의 배치나 수에 대해 정확하게 기재하고 있지 않다.The configuration of the shower head 7 will be described with reference to FIGS. 21 to 23. The shower head 7 includes a diffusion member 71 constituting a diffusion space D for diffusing the first gas, and a shower member 72 for ejecting gas into the processing space S, as shown in FIG. As described above, from the mounting table 3 side, the shower member 72 and the diffusion member 71 are formed by overlapping in this order. The bottom plate 71a of the diffusion member 71 and the shower member 72 correspond to a partition portion divided into a processing space S for processing the wafer W and a diffusion space D for diffusing gas. . In addition, Figs. 21 to 23 are schematically shown, and the arrangement or number of discharge holes is not accurately described.

도 21 및 도 22에 나타내는 바와 같이 확산 부재(71)는 내부에 가스를 확산하는 확산실이 형성된 평탄한 원통 형상으로 구성되어 있다. 확산 부재(71)의 천정판에는, 예를 들면 NF3 가스, Ar 가스, O2 가스, H2 가스 등의 제 1 가스를 확산 부재(71) 내에 공급하는 제 1 가스 공급관(73)의 하류측 단부가 접속되고, 확산 부재(71)의 바닥판(71a)에는, 확산 부재(71) 내에서 확산한 가스를 토출하는 구멍부(74)가 바닥판을 관통하도록 마련되어 있다. 제 1 가스 공급관(73)의 상류측에는, NF3 가스, Ar 가스, O2 가스, H2 가스 등의 가스를 혼합해서 제 1 가스 공급관(73)에 공급하는 제 1 가스 공급원(85)이 접속되어 있다. 또한 도 21의 V6, M6는 각각 밸브 및 유량 조정부이다. 이 예에서는 확산 부재(71) 내에 제 1 가스를 한곳으로부터 공급하도록 구성하고 있지만, 예를 들면 복수의 가스를 각각 개별적으로 마련한 가스 도입부로부터 확산 공간(D)에 도입하도록 해도 좋다. 그리고 복수종의 가스를 확산 공간(D)에서 혼합하도록 해도 좋다.21 and 22, the diffusion member 71 has a flat cylindrical shape in which a diffusion chamber for diffusing gas is formed. Downstream of the first gas supply pipe 73 for supplying a first gas such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas into the diffusion member 71 to the ceiling plate of the diffusion member 71 The side end portions are connected, and in the bottom plate 71a of the diffusion member 71, a hole 74 for discharging the gas diffused in the diffusion member 71 is provided so as to penetrate the bottom plate. To the upstream side of the first gas supply pipe 73, a first gas supply source 85 that mixes gases such as NF 3 gas, Ar gas, O 2 gas, and H 2 gas and supplies it to the first gas supply pipe 73 is connected. Has been. In addition, V6 and M6 in Fig. 21 denote a valve and a flow rate adjustment unit, respectively. In this example, the first gas is supplied into the diffusion member 71 from one location, but for example, a plurality of gases may be introduced into the diffusion space D from a gas introduction portion provided individually. Further, a plurality of types of gases may be mixed in the diffusion space D.

또 도 21 및 도 22에 나타내는 바와 같이 확산 부재(71)의 내부에는, 확산 부재(71)를 평면에서 보아, 중심 부근의 위치에, 중앙측 가스 공급관(75)이 마련되고 확산 부재(71)의 천정판에 접속된, 제 2 가스 공급관(76)을 거쳐서 공급되는, 예를 들면 HF 가스나 NH3 가스 등의 포스트믹스용의 제 2 가스를 확산실에 확산시키지 않고, 후술하는 샤워 부재(72)의 중앙측의 영역에 공급하도록 구성되어 있다. 또 확산 부재(71)의 내부에 있어서의 주연부 부근의 위치에는, 주연부측 가스 공급관(77)이 마련되고, 천정판에 접속된 제 2 가스 공급관(78)을 거쳐서 공급되는 제 2 가스를 확산실에 확산시키지 않고, 후술하는 샤워 부재(72)의 주연부측의 영역에 공급하도록 구성되어 있다. 또한 도면의 86은 HF 가스나 NH3 가스 등의 포스트믹스용의 제 2 가스 공급원이며, 도 21의 V4, V5는 각각 제 2 가스 공급관(76, 78)에 마련된 밸브이고, M4, M5는 각각 제 2 가스 공급관(76, 78)에 마련된 유량 조정부이다.In addition, as shown in Figs. 21 and 22, a center side gas supply pipe 75 is provided inside the diffusion member 71 in a plan view of the diffusion member 71 at a position near the center, and the diffusion member 71 A shower member (described later) without diffusing the second gas for postmix, such as HF gas or NH 3 gas, supplied through the second gas supply pipe 76 connected to the ceiling plate of 72) is configured to supply to the center side area. Further, at a position near the periphery in the interior of the diffusion member 71, a gas supply pipe 77 on the periphery side is provided, and the second gas supplied through the second gas supply pipe 78 connected to the ceiling plate is supplied to the diffusion chamber. It is comprised so that it may supply to the area|region side of the peripheral part of the shower member 72 mentioned later, without spreading it. In addition, 86 in the drawing is a second gas supply source for post-mix such as HF gas or NH 3 gas, V4 and V5 in FIG. 21 are valves provided in the second gas supply pipes 76 and 78, respectively, and M4 and M5 are respectively This is a flow rate adjustment unit provided in the second gas supply pipes 76 and 78.

도 21 및 도 23에 나타내는 바와 같이 샤워 부재(72)는 평탄한 바닥이 있는 원통 형상의 부재로 구성되고, 위쪽을 확산 부재의 바닥판(71a)에 의해 폐쇄시킴으로써 내부에 샤워실이 형성된다. 샤워실 내는, 구획벽(81)에 의해, 중앙 영역과 주연부측 영역으로 구획되어 있다. 그리고 확산 부재(71)의 중앙측 가스 공급관(75)을 거쳐서 샤워실에 공급되는 제 2 가스는, 도 21의 파선의 화살표로 나타내는 바와 같이, 샤워실 내에 있어서의 구획벽(81)으로 둘러싸인 중앙 영역으로 유입되고, 구획벽(81)으로 둘러싸인 중앙 영역의 바닥면에 형성된 중앙측 가스 토출 구멍(82)으로부터, 처리 공간(S)으로 유입되어, 탑재대(3)에 탑재된 웨이퍼(W)를 향해 토출된다.As shown in Figs. 21 and 23, the shower member 72 is formed of a cylindrical member with a flat bottom, and the upper portion thereof is closed by the bottom plate 71a of the diffusion member, thereby forming a shower chamber inside. The inside of the shower room is divided into a central region and a peripheral region side region by a partition wall 81. And the second gas supplied to the shower room via the central gas supply pipe 75 of the diffusion member 71 is the center surrounded by the partition wall 81 in the shower room, as indicated by the broken arrow in FIG. A wafer W introduced into the region and introduced into the processing space S from the center gas discharge hole 82 formed on the bottom surface of the central region surrounded by the partition wall 81, and mounted on the mounting table 3 It is discharged toward.

또 확산 부재(71)의 주연부측 가스 공급관(77)을 거쳐서 샤워실에 공급되는 제 2 가스는, 도 21에서 긴 점선의 화살표로 나타내는 바와 같이, 샤워실 내에 있어서의 구획벽(81)보다 외측의 주연부 영역으로 유입되고, 구획벽(81)보다 외측의 주연부 영역의 바닥면에 형성된 주연부측 가스 토출 구멍(83)으로부터, 처리 공간(S)에 유입되고, 탑재대(3)에 탑재된 웨이퍼(W)를 향해 토출된다.In addition, the second gas supplied to the shower room via the gas supply pipe 77 on the periphery side of the diffusion member 71 is outside the partition wall 81 in the shower room, as indicated by the long dotted arrow in FIG. A wafer that flows into the peripheral region of the partition wall 81, flows into the processing space S, and is mounted on the mounting table 3 from the peripheral-side gas discharge hole 83 formed on the bottom surface of the peripheral region outside the partition wall 81 It is discharged toward (W).

또 샤워실 내에는, 확산 부재(71)의 바닥판(71a)에 형성된 구멍부(74)에 각각 대응해서, 가스 공급관(84)이 마련되고, 도 21에서 실선의 화살표로 나타내는 바와 같이, 확산 부재(71)의 구멍부(74)로부터 토출되는 제 1 가스를 샤워실 내에 확산시키지 않고 샤워 부재(72)의 하방 토출하도록 구성되어 있다. 이 구멍부(74) 및 가스 공급관(84)은 제 1 가스 토출 구멍에 상당한다. 이러한 기판 처리 장치에서도, 제 1 가스를 확산 공간(D)에서 확산해서 처리 공간(S)에 토출함과 아울러, 제 2 가스를, 확산실을 통과시키지 않고 샤워 부재(72) 내의 중앙 영역 및 주연부 영역으로부터 처리 공간(S)으로 각각 독립해서 공급할 수 있다. 그 때문에 처리 용기(20) 내에 있어서의 제 2 가스의 농도 분포를 조정할 수 있어 마찬가지의 효과를 얻을 수 있다.In addition, in the shower room, a gas supply pipe 84 is provided corresponding to each of the holes 74 formed in the bottom plate 71a of the diffusion member 71, as indicated by the solid arrow in FIG. It is configured to discharge the first gas discharged from the hole 74 of the member 71 downward from the shower member 72 without diffusing into the shower chamber. The hole portion 74 and the gas supply pipe 84 correspond to the first gas discharge hole. In such a substrate processing apparatus as well, the first gas is diffused in the diffusion space D and discharged to the processing space S, while the second gas is not passed through the diffusion chamber, and the central region and the peripheral portion in the shower member 72 Each can be independently supplied from the area to the processing space S. Therefore, the concentration distribution of the second gas in the processing container 20 can be adjusted, and the same effect can be obtained.

2 : 플라즈마 처리 장치 3 : 탑재대
4, 8 : 샤워판 5 : 구획부
7 : 샤워 헤드 20 : 처리 용기
41A : 중앙측 가스 토출 구멍 41B : 주연부측 가스 토출 구멍
42 : 슬릿 51 : 이온 트랩부
D : 확산 공간 P : 플라즈마 공간
S : 처리 공간 W : 웨이퍼
2: plasma processing device 3: mounting table
4, 8: shower plate 5: compartment
7: shower head 20: processing container
41A: center side gas discharge hole 41B: peripheral side gas discharge hole
42: slit 51: ion trap portion
D: diffusion space P: plasma space
S: processing space W: wafer

Claims (17)

기판 처리 장치로서,
플라즈마 생성 공간과 기판 처리 공간을 가지는 챔버와,
상기 플라즈마 생성 공간에 제 1 가스를 도입하기 위한 제 1 가스 도입부와,
상기 플라즈마 생성 공간에 도입된 상기 제 1 가스로부터 플라즈마를 형성하기 위한 플라즈마 발생부와,
상기 챔버 내에서 상기 기판 처리 공간과 상기 플라즈마 생성 공간 사이에 배치되고, 가스 도입판을 포함하는 구획부를 구비하고,
상기 가스 도입판은,
상기 기판 처리 공간과 상기 플라즈마 생성 공간을 유체 연통하는 복수의 관통 슬릿과,
상기 기판 처리 공간 측에서 제 1 영역과, 상기 제 1 영역과는 상이한 제 2 영역을 구비하는 제 1 면과,
상기 기판 처리 공간에 제 2 가스를 도입하기 위한 제 1 가스 유로와 제 2 가스 유로 -상기 제 1 가스 유로는 상기 제 1 영역에 형성된 복수의 제 1 가스 토출 구멍을 구비하고, 상기 제 2 가스 유로는 상기 제 2 영역에 형성된 복수의 제 2 가스 토출 구멍을 구비함- 를 구비하는
것을 특징으로 하는 기판 처리 장치.
As a substrate processing apparatus,
A chamber having a plasma generation space and a substrate processing space,
A first gas introduction part for introducing a first gas into the plasma generation space,
A plasma generation unit for forming a plasma from the first gas introduced into the plasma generation space,
The chamber is disposed between the substrate processing space and the plasma generation space, and has a partition including a gas introduction plate,
The gas introduction plate,
A plurality of through slits in fluid communication between the substrate processing space and the plasma generation space,
A first surface having a first region on the side of the substrate processing space and a second region different from the first region,
A first gas flow path and a second gas flow path for introducing a second gas into the substrate processing space-The first gas flow path has a plurality of first gas discharge holes formed in the first region, and the second gas flow path Has a plurality of second gas discharge holes formed in the second region
A substrate processing apparatus, characterized in that.
제 1 항에 있어서,
상기 제 1 영역은 상기 가스 도입판의 중심을 포함하는 중앙 영역이고, 상기 제 2 영역은 상기 중앙 영역을 둘러싸는 주연 영역이고,
상기 기판 처리 장치는 상기 중앙 영역에 대해서 상기 제 2 가스를 공급하기 위한 중앙측 가스 공급부와, 상기 주연 영역에 대해서 상기 제 2 가스를 공급하기 위한 주연측 가스 공급부를 더 구비하는
것을 특징으로 하는 기판 처리 장치.
The method of claim 1,
The first region is a central region including the center of the gas introduction plate, the second region is a peripheral region surrounding the central region,
The substrate processing apparatus further includes a central gas supply unit for supplying the second gas to the central region, and a peripheral gas supply unit for supplying the second gas to the peripheral region.
A substrate processing apparatus, characterized in that.
제 2 항에 있어서,
상기 중앙측 가스 공급부는, 상기 가스 도입판의 주연부에 형성된 제 1 가스 도입 포트와, 일단측이 상기 제 1 가스 도입 포트에 연통하도록 상기 가스 도입판의 내부에 형성되고 타단측이 상기 제 1 면을 따라 상기 가스 도입판의 상기 중앙 영역까지 인출되는 상기 제 1 가스 유로를 구비하고,
상기 주연측 가스 공급부는, 상기 가스 도입판의 주연부에 형성된 제 2 가스 도입 포트와, 일단측이 상기 제 2 가스 도입 포트에 연통하도록 상기 가스 도입판의 내부에 형성되고 타단측이 상기 제 1 면을 따라 상기 가스 도입판의 상기 주연 영역까지 인출되는 상기 제 2 가스 유로를 구비하는
것을 특징으로 하는 기판 처리 장치.
The method of claim 2,
The central gas supply unit includes a first gas introduction port formed at a periphery of the gas introduction plate, and one end is formed inside the gas introduction plate so as to communicate with the first gas introduction port, and the other end is the first surface. And the first gas flow path that is drawn out to the central region of the gas introduction plate along the line,
The periphery side gas supply portion is formed inside the gas introduction plate so that a second gas introduction port formed at the periphery of the gas introduction plate and one end communicates with the second gas introduction port, and the other end thereof is the first surface. And the second gas flow path that is drawn out to the peripheral region of the gas introduction plate along the
A substrate processing apparatus, characterized in that.
제 3 항에 있어서,
상기 제 1 가스 유로는 상기 중앙 영역에서 분기하고 있는 것을 특징으로 하는 기판 처리 장치.
The method of claim 3,
The substrate processing apparatus, wherein the first gas flow path diverges from the central region.
제 3 항에 있어서,
상기 제 1 가스 유로 및 상기 제 2 가스 유로는 각각 복수 마련되고,
상기 구획부의 두께 방향을 높이 방향으로 하면, 상기 복수의 제 1 가스 유로의 각각에 상기 제 2 가스를 공급하기 위해서 상기 제 2 가스를 확산시키는 제 1 가스 확산 유로와, 상기 복수의 제 2 가스 유로의 각각에 상기 제 2 가스를 공급하기 위해서 상기 제 2 가스를 확산시키는 제 2 가스 확산 유로는 상기 구획부의 높이 방향의 위치가 서로 다르게 마련되고,
상기 복수의 제 1 가스 유로 및 상기 복수의 제 2 가스 유로 각각은 상기 제 1 면을 따라 연장하고,
상기 복수의 제 1 가스 유로 및 상기 복수의 제 2 가스 유로는 상기 구획부 내에서 수평 방향으로 배열되는
것을 특징으로 하는 기판 처리 장치.
The method of claim 3,
The first gas flow path and the second gas flow path are provided in plurality, respectively,
When the thickness direction of the partition is a height direction, a first gas diffusion passage for diffusing the second gas to supply the second gas to each of the plurality of first gas passages, and the plurality of second gas passages In order to supply the second gas to each of, the second gas diffusion passages for diffusing the second gas are provided at different positions in the height direction of the partition portion,
Each of the plurality of first gas flow paths and the plurality of second gas flow paths extends along the first surface,
The plurality of first gas flow paths and the plurality of second gas flow paths are arranged in a horizontal direction within the partition.
A substrate processing apparatus, characterized in that.
제 1 항에 있어서,
상기 제 1 가스는 기판을 처리하는 처리 가스이며,
상기 제 2 가스는 불활성 가스인
것을 특징으로 하는 기판 처리 장치.
The method of claim 1,
The first gas is a processing gas for processing a substrate,
The second gas is an inert gas
A substrate processing apparatus, characterized in that.
제 1 항에 있어서,
상기 구획부는, 상기 가스 도입판보다 상기 플라즈마 생성 공간 측에 근접하게 마련되어, 그 내부에 상기 관통 슬릿에 연통하도록 마련된 가스 채널을 구비하고, 상기 플라즈마 중의 이온을 트랩하는 이온 트랩부를 구비하는 것을 특징으로 하는 기판 처리 장치.
The method of claim 1,
The partition portion is provided closer to the plasma generation space side than the gas introduction plate, and includes a gas channel provided therein to communicate with the through slit, and an ion trap portion for trapping ions in the plasma. Substrate processing apparatus.
제 7 항에 있어서,
상기 구획부는 상기 이온 트랩부의 열이 상기 기판 처리 공간에 전달되는 것을 억제하기 위한 차열 부재를 구비하는 것을 특징으로 하는 기판 처리 장치.
The method of claim 7,
The substrate processing apparatus, wherein the partition portion includes a heat shielding member for suppressing the heat of the ion trap portion from being transferred to the substrate processing space.
제 8 항에 있어서,
상기 차열 부재 및 상기 챔버는 금속으로 구성되어 서로 접촉하도록 배치된 것을 특징으로 하는 기판 처리 장치.
The method of claim 8,
The heat shielding member and the chamber are made of metal and are arranged to contact each other.
제 1 항에 있어서,
상기 제 1 가스는 기판의 표면에 형성된 실리콘 질화막을 에칭하기 위한 에칭 가스이며,
상기 제 2 가스는 상기 기판 처리 공간에 있어서의 상기 제 1 가스의 분포를 조정하기 위한 분포 조정용 가스인
것을 특징으로 하는 기판 처리 장치.
The method of claim 1,
The first gas is an etching gas for etching the silicon nitride film formed on the surface of the substrate,
The second gas is a distribution adjustment gas for adjusting the distribution of the first gas in the substrate processing space.
A substrate processing apparatus, characterized in that.
제 10 항에 있어서,
에칭의 이전 또는 이후에 상기 실리콘 질화막의 표면에 있어서의 산화막을 제거하기 위한 산화막 제거 가스를, 상기 제 1 가스 도입부로부터 상기 플라즈마 생성 공간을 거쳐 상기 기판 처리 공간에 공급하거나, 상기 제 1 가스 유로 및 상기 제 2 가스 유로로부터 상기 기판 처리 공간에 공급하는 것을 특징으로 하는 기판 처리 장치.
The method of claim 10,
An oxide film removal gas for removing an oxide film on the surface of the silicon nitride film before or after etching is supplied from the first gas introduction part to the substrate processing space through the plasma generation space, or the first gas flow path and And supplying to the substrate processing space from the second gas flow path.
제 10 항에 있어서,
상기 제 1 가스 도입부는 상기 에칭 가스를 상기 플라즈마 생성 공간에 공급하기 전에 해당 플라즈마 생성 공간에 상기 실리콘 질화막을 개질하기 위한 개질 가스를 공급하고,
상기 플라즈마 발생부는 상기 개질 가스의 플라즈마를 생성하는
것을 특징으로 하는 기판 처리 장치.
The method of claim 10,
The first gas introduction unit supplies a modifying gas for modifying the silicon nitride film to a corresponding plasma generation space before supplying the etching gas to the plasma generation space,
The plasma generation unit generates plasma of the reforming gas
A substrate processing apparatus, characterized in that.
제 5 항에 있어서,
상기 중앙측 가스 공급부는 상기 제 1 가스 도입 포트를 상기 제 1 가스 확산 유로와 접속시키는 제 1 접속 유로를 구비하고, 상기 제 1 접속 유로는 상기 제 1 가스 도입 포트와 상기 제 1 가스 확산 유로에 수직으로 배치되며,
상기 주연측 가스 공급부는 상기 제 2 가스 도입 포트를 상기 제 2 가스 확산 유로와 접속시키는 제 2 접속 유로를 구비하고, 상기 제 2 접속 유로는 상기 제 2 가스 도입 포트와 상기 제 2 가스 확산 유로에 수직으로 배치되는
것을 특징으로 하는 기판 처리 장치.
The method of claim 5,
The central gas supply unit has a first connection flow path connecting the first gas introduction port to the first gas diffusion flow path, and the first connection flow path is connected to the first gas introduction port and the first gas diffusion flow path. Placed vertically,
The peripheral side gas supply unit includes a second connection flow path connecting the second gas introduction port to the second gas diffusion flow path, and the second connection flow path is connected to the second gas introduction port and the second gas diffusion flow path. Vertically placed
A substrate processing apparatus, characterized in that.
제 13 항에 있어서,
상기 제 1 접속 유로의 폭은 상기 제 1 가스 도입 포트의 폭보다 작고,
상기 제 1 접속 유로의 길이는 상기 제 1 접속 유로의 폭보다 2배 이상의 길이이고,
상기 제 2 접속 유로의 폭은 상기 제 2 가스 도입 포트의 폭보다 작고,
상기 제 2 접속 유로의 길이는 상기 제 2 접속 유로의 폭보다 2배 이상의 길이인
것을 특징으로 하는 기판 처리 장치.
The method of claim 13,
The width of the first connection passage is smaller than the width of the first gas introduction port,
The length of the first connection flow path is at least twice as long as the width of the first connection flow path,
The width of the second connection passage is smaller than the width of the second gas introduction port,
The length of the second connection flow path is at least twice the width of the second connection flow path.
A substrate processing apparatus, characterized in that.
제 5 항에 있어서,
상기 높이 방향에 있어서 상기 제 1 가스 유로와 상기 제 2 가스 유로는 상기 제 1 가스 확산 유로와 상기 제 2 가스 확산 유로 사이에 마련되어 있는 것을 특징으로 하는 기판 처리 장치.
The method of claim 5,
The substrate processing apparatus, wherein in the height direction, the first gas flow passage and the second gas flow passage are provided between the first gas diffusion flow passage and the second gas diffusion flow passage.
가스 도입판으로서,
상기 가스 도입판을 관통하는 복수의 관통 슬릿과,
제 1 영역과, 상기 제 1 영역과는 상이한 제 2 영역을 구비하는 제 1 면과,
상기 제 1 면의 아래의 공간으로 가스를 도입하기 위한 제 1 가스 유로와 제 2 가스 유로를 구비하고,
상기 제 1 가스 유로는 상기 제 1 영역에 형성된 복수의 제 1 가스 토출 구멍을 구비하고, 상기 제 2 가스 유로는 상기 제 2 영역에 형성된 복수의 제 2 가스 토출 구멍을 구비하고,
상기 제 1 영역은 상기 가스 도입판의 중심을 포함하는 중앙 영역이고, 상기 제 2 영역은 상기 중앙 영역을 둘러싸는 주연 영역이고,
상기 제 1 가스 유로는 상기 중앙 영역으로 상기 가스를 공급하고, 상기 제 2 가스 유로는 상기 주연 영역으로 상기 가스를 공급하는
것을 특징으로 하는 가스 도입판.
As a gas introduction plate,
A plurality of through slits penetrating the gas introduction plate,
A first surface having a first region and a second region different from the first region,
A first gas flow path and a second gas flow path for introducing gas into a space below the first surface,
The first gas flow path has a plurality of first gas discharge holes formed in the first region, the second gas flow path has a plurality of second gas discharge holes formed in the second region,
The first region is a central region including the center of the gas introduction plate, the second region is a peripheral region surrounding the central region,
The first gas flow path supplies the gas to the central region, and the second gas flow path supplies the gas to the peripheral region.
Gas introduction plate, characterized in that.
가스 도입판으로서,
상기 가스 도입판을 관통하는 복수의 관통 슬릿과,
제 1 영역과, 상기 제 1 영역과는 상이한 제 2 영역을 구비하는 제 1 면과,
상기 제 1 면의 아래의 공간으로 가스를 도입하기 위한 제 1 가스 유로와 제 2 가스 유로와,
상기 가스 도입판의 주연부에 형성된 제 1 가스 도입 포트와 제 2 가스 도입 포트를 구비하고,
상기 제 1 가스 유로는 상기 제 1 영역에 형성된 복수의 제 1 가스 토출 구멍을 구비하고, 상기 제 2 가스 유로는 상기 제 2 영역에 형성된 복수의 제 2 가스 토출 구멍을 구비하고,
상기 제 1 영역은 상기 가스 도입판의 중심을 포함하는 중앙 영역이고, 상기 제 2 영역은 상기 중앙 영역을 둘러싸는 주연 영역이고,
상기 제 1 가스 유로는, 상기 중앙 영역으로 상기 가스를 공급하고, 일단측이 상기 제 1 가스 도입 포트에 연통하도록 상기 가스 도입판의 내부에 형성되고 타단측이 상기 제 1 면을 따라 상기 가스 도입판의 상기 중앙 영역까지 인출되고,
상기 제 2 가스 유로는, 상기 주연 영역으로 상기 가스를 공급하고, 일단측이 상기 제 2 가스 도입 포트에 연통하도록 상기 가스 도입판의 내부에 형성되고 타단측이 상기 제 1 면을 따라 상기 가스 도입판의 상기 주연 영역까지 인출되는
것을 특징으로 하는 가스 도입판.
As a gas introduction plate,
A plurality of through slits penetrating the gas introduction plate,
A first surface having a first region and a second region different from the first region,
A first gas flow path and a second gas flow path for introducing gas into a space below the first surface,
A first gas introduction port and a second gas introduction port formed at a periphery of the gas introduction plate,
The first gas flow path has a plurality of first gas discharge holes formed in the first region, the second gas flow path has a plurality of second gas discharge holes formed in the second region,
The first region is a central region including the center of the gas introduction plate, the second region is a peripheral region surrounding the central region,
The first gas flow path supplies the gas to the central region, is formed inside the gas introduction plate so that one end communicates with the first gas introduction port, and the other end introduces the gas along the first surface. Is drawn up to the central area of the plate,
The second gas flow path supplies the gas to the peripheral region, is formed inside the gas introduction plate so that one end communicates with the second gas introduction port, and the other end introduces the gas along the first surface. Drawn up to the peripheral area of the plate
Gas introduction plate, characterized in that.
KR1020200036070A 2017-03-29 2020-03-25 Substrate processing apparatus and gas introduction plate KR102190863B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2017-065992 2017-03-29
JP2017065992 2017-03-29
JPJP-P-2018-019439 2018-02-06
JP2018019439A JP7035581B2 (en) 2017-03-29 2018-02-06 Board processing device and board processing method.

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180030560A Division KR102096700B1 (en) 2017-03-29 2018-03-16 Substrate processing apparatus and substrate procesing method

Publications (2)

Publication Number Publication Date
KR20200035925A KR20200035925A (en) 2020-04-06
KR102190863B1 true KR102190863B1 (en) 2020-12-14

Family

ID=64018869

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200036070A KR102190863B1 (en) 2017-03-29 2020-03-25 Substrate processing apparatus and gas introduction plate

Country Status (3)

Country Link
JP (1) JP7035581B2 (en)
KR (1) KR102190863B1 (en)
TW (1) TWI763810B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020188744A1 (en) * 2019-03-19 2020-09-24 株式会社Kokusai Electric Method for manufacturing semiconductor device, substrate processing device, and program
JP7282646B2 (en) * 2019-09-26 2023-05-29 株式会社アルバック Vacuum processing equipment
CN114068272B (en) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 Gas flow regulating device and regulating method and plasma processing device
KR102505532B1 (en) * 2021-04-12 2023-03-06 한국재료연구원 Control cartridge for plasma processing apparatus and method for plasma processing using the same
JP2023045093A (en) * 2021-09-21 2023-04-03 東京エレクトロン株式会社 Device for performing plasma processing, and method for performing plasma processing
JP2023087228A (en) * 2021-12-13 2023-06-23 東京エレクトロン株式会社 Gas treatment method and gas treatment device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100446486B1 (en) * 1999-07-08 2004-09-01 제너스 인코포레이티드 Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2724165B2 (en) * 1987-08-28 1998-03-09 株式会社東芝 Method and apparatus for removing organic compound film
JP4664119B2 (en) 2005-05-17 2011-04-06 東京エレクトロン株式会社 Plasma processing equipment
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR101437522B1 (en) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP5192214B2 (en) 2007-11-02 2013-05-08 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and substrate processing method
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100446486B1 (en) * 1999-07-08 2004-09-01 제너스 인코포레이티드 Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof

Also Published As

Publication number Publication date
TWI763810B (en) 2022-05-11
JP2018170499A (en) 2018-11-01
TW201900917A (en) 2019-01-01
JP7035581B2 (en) 2022-03-15
KR20200035925A (en) 2020-04-06

Similar Documents

Publication Publication Date Title
KR102096700B1 (en) Substrate processing apparatus and substrate procesing method
KR102190863B1 (en) Substrate processing apparatus and gas introduction plate
US11443952B2 (en) Etching method and etching device
US9595425B2 (en) Antenna, dielectric window, plasma processing apparatus and plasma processing method
US10017853B2 (en) Processing method of silicon nitride film and forming method of silicon nitride film
US8771537B2 (en) Plasma treatment device and plasma treatment method
KR20130114607A (en) Plasma processing apparatus and plasma processing method
TW201742147A (en) Substrate processing apparatus
KR20110056551A (en) Plasma cvd method, method for forming silicon nitride film and method for manufacturing semiconductor device
JP5055114B2 (en) Plasma doping method
KR102455355B1 (en) Argon addition for remote plasma oxidation
US20100093185A1 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
US7972973B2 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
JP2022100339A (en) Substrate processing apparatus and substrate processing method
KR102244356B1 (en) Substrate treatment method
KR20070033930A (en) Processing unit
TW201303999A (en) Plasma processing method and element separation method
JP2022079865A (en) Substrate processing method and substrate processing system
WO2015111329A1 (en) Substrate treatment device, shower plate, and substrate treatment method
KR101384590B1 (en) Method for fabricating semiconductor device and apparatus for fabricating the same
KR102275509B1 (en) Support unit and apparatus for treating substrate
TW201512449A (en) Substrate processing apparatus
KR20240096373A (en) Etching method and etching apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant