JP6830104B2 - 水素輸送に対するバリアを有するeuv要素 - Google Patents

水素輸送に対するバリアを有するeuv要素 Download PDF

Info

Publication number
JP6830104B2
JP6830104B2 JP2018534783A JP2018534783A JP6830104B2 JP 6830104 B2 JP6830104 B2 JP 6830104B2 JP 2018534783 A JP2018534783 A JP 2018534783A JP 2018534783 A JP2018534783 A JP 2018534783A JP 6830104 B2 JP6830104 B2 JP 6830104B2
Authority
JP
Japan
Prior art keywords
inert gas
hydrogen
backing layer
euv
multilayer mirror
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018534783A
Other languages
English (en)
Other versions
JP2019508728A (ja
Inventor
ウムスタッダー,カール,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019508728A publication Critical patent/JP2019508728A/ja
Application granted granted Critical
Publication of JP6830104B2 publication Critical patent/JP6830104B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B19/00Condensers, e.g. light collectors or similar non-imaging optics
    • G02B19/0033Condensers, e.g. light collectors or similar non-imaging optics characterised by the use
    • G02B19/0095Condensers, e.g. light collectors or similar non-imaging optics characterised by the use for use with ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • X-Ray Techniques (AREA)

Description

[0001] 本開示は、要素に損傷を与える可能性のある水素などの物質に曝露される環境内で動作するように設計される要素に関する。こうした環境の例が、ターゲット材料の排出又はレーザアブレーションを介して作成されるプラズマから極端紫外(「EUV」)放射を発生させるための装置の真空チャンバである。この応用例において、例えば半導体フォトリソグラフィ及び検査において利用するための放射を収集及び誘導するために、例えば光学要素が使用される。
関連出願の相互参照
[0002] 本願は、2016年1月12日出願の米国仮特許出願第62/277,807号、2016年1月14日出願の米国仮特許出願第62/278,923号、及び、2016年10月31日出願の米国実用特許出願第15/338,835号の優先権を主張する。
[0003] 極端紫外線、例えば、約50nm又は50nmよりも小さい波長を有し(また、時には軟X線と呼ばれ)、約13.5nmの波長での放射を含む、電磁放射は、シリコンウェーハなどの物質内に極端に小さなフィーチャを生成するために、フォトリソグラフィプロセスで使用可能である。
[0004] EUV放射を発生させるための方法は、ターゲット材料を液体状態からプラズマ状態に変換することを含む。ターゲット材料は、好ましくは、EUVレンジ内に1つ以上の輝線を備える、少なくとも1つの元素、例えばキセノン、リチウム、又はスズを含む。ターゲット材料は、固定、液体、又は気体とすることができる。こうした1つの方法において、しばしばレーザ生成プラズマ(「LPP」)と呼ばれる必須プラズマは、必須の線発光元素を有するターゲット材料を照射するためにレーザビームを使用することによって生成することができる。
[0005] 1つのLPP技法は、ターゲット材料の液滴のストリームを発生させること、及び、レーザ放射パルスを用いて少なくともいくつかの液滴を照射することを含む。より理論的に言えば、LPP源は、キセノン(Xe)、スズ(Sn)、又はリチウム(Li)などの少なくとも1つのEUV放出元素を有するターゲット材料内に、レーザエネルギーを堆積させることによって、EUV放射を発生させ、数十eVの電子温度を伴う高度にイオン化されたプラズマを作成する。
[0006] これらのイオンの脱励起及び再結合の間に発生したエネルギー放射は、プラズマからすべての方向に放出される。1つの一般的な配置において、放射を集光、誘導、及び、いくつかの配置においては中間ロケーションにフォーカスさせるように、近法線入射ミラー(しばしば「集光ミラー」又は単に「コレクタ」と呼ばれる)が位置決めされる。集光された放射は、その後、中間ロケーションから、光学系のセット、レチクル、ディテクタ、及び最終的にはウェーハへとリレーされ得る。
[0007] スペクトルのEUV部分において、通常、コレクタ、イルミネータ、及び投影光ボックスを含むシステム内の光学要素のために反射光学系を使用することが必要であると考えられる。これらの反射光学系は、法線入射光学系又はかすめ入射光学系として実施され得る。関連する波長において、コレクタは有利には多層ミラー(「MLM」)として実施される。その名前が示唆するように、このMLMは通常、基礎又は基板の上の材料の交互の層で構成される。システム光学系は、たとえMLMとして実施されない場合であっても、コーティングされた光学要素としても構成され得る。
[0008] 光学要素は、EUV放射を集光及び再誘導するために、プラズマと共に真空チャンバ内に配置されなければならない。チャンバ内の環境は光学要素に向かないため、例えばその反射性を低下させることによって耐用年数を制限する。環境内の光学要素は、ターゲット材料の高エネルギーイオン又は粒子に曝露される可能性がある。ターゲット材料の粒子は、光学要素の曝露表面を汚染させる可能性がある。ターゲット材料の粒子は、MLM表面の物理的損傷及び局部加熱も生じさせる可能性がある。ターゲット材料は、光学要素表面の少なくとも1つの層を構成する材料、例えばモリブデン及びシリコンと、特に反応する場合がある。例えば、スズ、インジウム、又はキセノンなどの低反応性のターゲット材料の場合でも、温度安定性、イオン注入、及び拡散の問題に対処する必要があり得る。MLMコーティングのブリスタ形成も避けなければならない。
[0009] これらの過酷な条件にもかかわらず、光学要素の寿命を延ばすために採用できる技法がある。例えば、光学要素の表面を保護するために、光学要素上にキャッピング層を配置することができる。キャッピング層をより反射性にするために、反射される放射の波長での反射率を上げるように間隔を置いて複数の層を配置することもできる。しかしながら、こうした多層のキャッピング層は、水素拡散及びブリスタ形成などのメカニズムを介して、それら自体が損傷しやすい。
[0010] いくつかのシステムにおいて、デブリ緩和のために、真空チャンバにおいて0.5mbarから3mbarの範囲内の圧力でHガスが使用される。真空圧でガスがない場合、プラズマから放出されるターゲット材料デブリからコレクタを適切に保護することは、不可能ではなくとも困難であろう。水素は、約13.5nmの波長を有するEUV放射に対して比較的透過的であるため、約13.5nmでより高い吸収を示すHe、Ar、又は他のガスなどの他の候補のガスよりも好適である。
[0011] Hガスは真空チャンバに導入され、プラズマによって作成されるターゲット材料のエネルギーデブリ(イオン、原子、及びクラスタ)を減速させる。デブリは、ガス分子との衝突によって減速される。このために、デブリの軌道とは逆でもあり得るHガスの流れが使用される。これは、コレクタの光コーティング上のターゲット材料の堆積、注入、及びスパッタリングの損傷を減少させる働きをする。この方法を使用すると、プラズマサイトとコレクタ表面との間の距離にわたる多くのガス衝突によって、数keVから数十eVのエネルギーを伴うエネルギー粒子を減速させることが可能であると考えられる。
[0012] Hガスを真空チャンバ内に導入する別の理由は、コレクタ表面のクリーニングを容易にすることである。プラズマによって発生するEUV放射は、H分子を解離させることによって水素ラジカルHを作成する。次に、水素ラジカルHは、コレクタ表面上のターゲット材料堆積物からコレクタ表面をクリーニングするのを助ける。例えば、スズがターゲット材料である場合、水素ラジカルは、ポンプで取り除くことが可能な揮発性ガススタンナン(SnH)の形成につながるコレクタ表面上での反応に関与する。この化学経路を効率的にするために、コレクタ表面上での(H分子を再形成するための)H再結合率は低いため、SnHを形成するためにSnに付着させる代わりに水素ラジカルが利用できる。通常、窒化物、炭化物、及び酸化物などの非金属化合物からなる表面は、純金属からなる表面に比べてH再結合率が低い。
[0013] しかしながら、Hガスの使用には、コーティング上の軽水素原子及び分子の両方に起因して、コレクタに塗布されるコーティングに対して悪影響を与える可能性がある。水素原子は非常に小さいため、多層ミラーとして構成されるコレクタ内のいくつかの層へと容易に深く拡散するものと考えられる。低エネルギー水素を表面近くに注入することも可能であり、コレクタキャップ及びキャップの下の多層ミラーの層内に拡散可能である。これらの現象は、一番外側の層(例えば、最初の1μm)に最も厳しい影響を与える。
[0014] 原子状水素が多層ミラーの本体に浸入すると、Siとボンディングし、層境界及びインターフェイス、又はその両方で捕捉されることができる。水素は、MLMスタックを介して、基板より下方及び同等のボンディング層へと拡散可能である。これらの影響の大きさは、表面に対する水素のフルエンス、吸収される水素ドーズ、及びこれらの領域における水素の濃度に依存する。水素濃度がある閾値よりも高い場合、H分子に再結合するか又は恐らく水素化物も形成する、ガス状の水素化合物の泡を形成する可能性がある。これは、典型的にはMLMスタックの下、又は基板層において、最も深刻に発生する可能性がある。気泡の形成が始まると、付加的な水素の存在で成長する確率が高い。こうした泡が実際に形成された場合、それらの内部のガス圧が気泡の上の層を変形させることになり、様々なサイズのコーティング上のブリスタの形成につながる。次いで、層はバーストする可能性があり、したがってこのエリアの下のガス及び上の材料を解放し、結果としてコーティングの剥離が生じることになる。
[0015] ブリスタ形成されたコーティングはいくつかの問題を引き起こす。より高い表面エリアを有し、酸化及び他の汚染物質によって、並びにターゲット材料の堆積によって、劣化を起こしやすい。より高い吸収に起因して、通常、EUV反射率の低下につながる。ブリスタ形成されたコーティングは、より高いラフネスに起因してより多くの光も散乱させるため、損傷していない下の層が依然としてEUV光の反射に寄与しているにもかかわらず、また、たとえターゲット材料の堆積物がクリーニングによって除去された場合であっても、所望の角度でのEUV反射率の著しい低下につながる。ブリスタは、プラズマによって発生する光、並びにドライブレーザからの光を含むことが可能な、帯域外(OoB)光の反射における変化、及び、ドライブレーザからの光を意図的に散乱させるために使用される格子などの要素の有効性の損失も生じさせる。
[0016] H曝露に起因するコレクタのブリスタ形成は寿命を厳しく制限し、システムの可用性に大きな影響を与える。損傷についての現行モデルは、欠陥が存在する表面におけるH吸着、及びバルク内の領域へのH原子の輸送である。主な指示は、これが付着層においてMLMスタックの下で発生することである。Hの発生はEUVパワーに対応するため、EUV MLM光学要素ブリスタ形成の問題は、ソースのパワーが増加するにつれて大きくなることが予測できる。
[0017] これらの影響に加えて、水素の取り込み及び浸入は金属層の脆化にもつながるため、層の劣化を発生させる可能性がある。
[0018] したがって、ブリスタ形成などの水素損傷に耐性のある光学系を有すると同時に、多層光学系を使用することでEUV反射率を高めることに関して、利点を活用することが求められている。
[0019] 実施形態を基本的に理解するために、1つ以上の実施形態の簡略化された概要を下記に提示する。この概要は、すべての企図される実施形態の広範囲にわたる要約ではなく、すべての実施形態の主要又は重要な要素を識別すること、或いは、任意又はすべての実施形態の範囲を限定することも、意図されていない。その唯一の目的は、1つ以上の実施形態のいくつかの概念を、後に提示されるより詳細な説明の前段階として簡略化された形で提示することである。
[0020] 一態様によれば、EUV放射を発生させるためのシステムの構成要素を備える、装置が開示され、構成要素は、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、構成要素は、非水素ガスの化学種(例えば、イオン又はエネルギー中性原子)が注入された領域を備える水素拡散バリアを含む。本明細書で、また本説明及び特許請求の範囲内のいずれかで使用される場合、「非水素ガス」とは、主に水素以外のガスで構成されるガスを意味する。非水素ガスはヘリウムを含む。構成要素は、法線入射ミラーであり得、多層ミラーであり得る、コレクタミラーの少なくとも一部を含み得る。構成要素は、レチクル、ディテクタ、顕微鏡、検査システム、ペリクル、真空チャンバライナ、真空チャンバ翼、及び液滴ジェネレータのうちの、少なくとも一部でもあり得る。
[0021] 別の態様によれば、EUV放射を発生させるためのシステムにおいて使用するための多層ミラーが開示され、多層ミラーは、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、多層ミラーは、基板、基板上のバッキング層、及びバッキング層上の多層コーティングを含み、バッキング層及び多層コーティングのうちの1つは、非水素ガスの化学種が注入された領域を備える水素拡散バリアを含む。非水素ガスは、ヘリウムであってよい不活性ガスを含み得る。
[0022] 別の態様によれば、EUV放射を発生させるためのシステムにおいて使用するための多層ミラーが開示され、多層ミラーは、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、多層ミラーは、基板及び基板上のコーティングを含み、コーティングは複数の層を含み、複数の層のうちの少なくとも1つの層に不活性ガスの化学種が注入される。非水素ガスは、ヘリウムであってよい不活性ガスを含み得る。
[0023] 別の態様によれば、レーザ放射源と、極端紫外線放射を生成するためにターゲット材料がレーザ放射源によって照射される照射領域にターゲット材料を送達するためのターゲットデリバリシステムと、極端紫外線放射を収集するように配置された反射光学要素とを備える、半導体フォトリソグラフィのための装置が開示され、反射光学要素は、多層スタック及びバッキング層を含む多層ミラーを備え、多層スタック及びバッキング層のうちの少なくとも1つは、ヘリウムであってよい不活性ガスの化学種が注入された領域を備える水素拡散バリアを含む。
[0024] 別の態様によれば、EUV放射を発生させるためのシステムの構成要素を作る方法が開示され、構成要素は、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、方法は、構成要素内に水素拡散バリアを形成するために、構成要素の少なくとも一部内に非水素ガスの化学種を注入するステップを含む。非水素ガスはヘリウムを含み得る。注入ステップは、構成要素内の水素拡散バリアの平均深さを制御するために化学種の注入エネルギーを制御することを含み得る。
[0025] 別の態様によれば、EUV放射を発生させるためのシステムにおいて使用するための多層ミラーを取り扱う方法が開示され、多層ミラーは、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、方法は、バッキング層を曝露するために多層ミラーの多層コーティングを除去するステップと、非水素ガスの化学種をバッキング層に注入するステップと、多層コーティングをバッキング層上に配置するステップと、を含む。非水素ガスは、ヘリウムを含み得る不活性ガスを含み得る。注入ステップは、バッキング層における化学種の平均注入深さを制御するために、化学種の注入エネルギーを制御することを含み得る。
[0026] 別の態様によれば、EUV放射を発生させるためのシステムにおいて使用するためのEUV光学要素を取り扱う方法が開示され、EUV光学要素は、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、方法は、EUV光学要素における水素の吸着及び拡散を防止するために、EUV光学要素内に非水素ガスの化学種を注入するステップを含む。非水素ガスは、ヘリウムであってよい不活性ガスを含み得る。注入ステップは、構成要素における化学種の平均注入深さを制御するために、化学種の注入エネルギーを制御することを含み得る。
[0027] 別の態様によれば、EUV放射を発生させるためのシステムにおいて使用するための構成要素を取り扱う方法が開示され、構成要素は、EUV放射を発生させるためのシステムの動作中に水素イオンに曝露され、方法は、非水素ガス種が多層EUV光学要素を水素損傷から保護するように、構成要素の少なくとも一部における水素イオンを非水素ガス種と置き換えるために、構成要素に非水素ガス種のフラックスを施すステップを含む。非水素ガスは、ヘリウムであってよい不活性ガスを含み得る。
[0028]本発明の態様に従った、レーザ生成プラズマEUV放射源システムについての広義な概念全体の、一定の縮尺でない概略図を示す。 [0029]水素輸送バリアを有するEUV光学要素の断面の、一定の縮尺でない概略図を示す。 [0030]水素輸送バリアを有するEUV光学要素を作るためのシステムの、一定の縮尺でない概略図を示す。 [0031]水素の吸着及び拡散を制限又は防止するためにEUV光学要素の表面近くにヘリウム種(例えば、イオン又はエネルギー中性子)が注入された、EUV光学要素の表面に対する非常に小さな(nm〜μm)スクラッチ及び他の損傷を示す、EUV光学要素の断面の、一定の縮尺でない概略図を示す。 [0032]水素の吸着及び拡散を制限又は防止するためにEUV光学構成要素の表面近くにヘリウムが注入された、EUV構成要素の断面の、一定の縮尺でない概略図を示す。
[0033] 次に、全体を通じて同じ要素を言い表すために同じ参照番号が使用される図面を参照しながら、様々な実施形態を説明する。下記の記述において、1つ以上の実施形態の完全な理解を促進させるために、説明の目的で多数の特有の細部が示される。しかしながら、いくつか又はすべてのインスタンスにおいて、下記で説明する任意の実施形態は、下記で説明する特有の設計細部を採用することなく実施可能であることが明白であり得る。他のインスタンスにおいて、1つ以上の実施形態の説明を容易にするために、周知の構造及びデバイスがブロック図の形で示される。
[0034] 最初に図1を参照すると、本発明の実施形態の一態様に従った、例示のEUV放射源、例えば、レーザ生成プラズマEUV放射源20の概略図が示されている。図に示されるように、EUV放射源20は、例えば、10.6μm又は1μmで放射を生成するパルスガス排出COレーザ源であり得る、パルス又は連続レーザ源22を含み得る。パルスガス排出COレーザ源は、高パワー及び高パルス繰り返し数で動作する、DC又はRF励起を有し得る。
[0035] EUV放射源20は、液体液滴又は連続液体ストリームの形でターゲット材料を送達するための、ターゲットデリバリシステム24も含む。この例では、ターゲット材料は液体であるが、固体又は気体とすることも可能である。ターゲット材料はスズ又はスズ化合物で構成され得るが、他の材料も使用可能である。ターゲット材料デリバリシステム24は、ターゲット材料を真空チャンバ26の内部の照射領域28に導入し、ここでターゲット材料はプラズマを生成するために照射され得る。いくつかの場合、ターゲット材料を照射領域28に向かって、又は照射領域28から遠くへ進ませることができるように、ターゲット材料上に電荷が配置される。本明細書で使用される場合、照射領域とは、ターゲット材料の照射が発生し得る領域であり、照射が実際には発生しない時でさえ、照射領域であることに留意されたい。真空チャンバ26にはライナ34が提供され得、一連の翼36を有し得る。
[0036] EUV光源20は、レーザ発射制御システム65、並びに例えばレーザビーム位置決めシステム(図示せず)も含み得る、EUV光源コントローラシステム60も含み得る。EUV光源20は、例えば、照射領域28に対するターゲット液滴の絶対位置又は相対位置を示す出力を発生させ、この出力をターゲット位置検出フィードバックシステム62に提供する、1つ以上の液滴イメージャ70を含み得る、ターゲット位置検出システムなどのディテクタも含み得る。チャンバ26の内部に曝露される液滴イメージャ70の一部には、保護ペリクル72が提供され得る。ターゲット位置検出フィードバックシステム62は、液滴イメージャ70の出力を使用してターゲットの位置及び軌道を計算することが可能であり、ここからターゲットエラーを算出することが可能である。ターゲットエラーは、液滴ごとに、又は平均で、又は何らかの他の基準で、算出可能である。次いで、ターゲットエラーは、光源コントローラ60への入力として提供され得る。これに応答して、光源コントローラ60は、レーザの位置、方向、又はタイミング補正信号などの制御信号を発生させ、この制御信号をレーザビーム位置決めコントローラ(図示せず)に提供することが可能である。レーザビーム位置決めシステムは、制御信号を使用して、レーザタイミング回路を制御すること、及び/又は、例えば、チャンバ内のレーザビームフォーカススポットの位置及び/又は集光力を変更するために、レーザビーム位置及び整形システム(図示せず)を制御することが可能である。
[0037] 図1に示されるように、光源20はターゲットデリバリ制御システム90を含み得る。ターゲットデリバリ制御システム90は、信号、例えば、上述のターゲットエラー、又はシステムコントローラ60によって提供されるターゲットエラーから導出される何らかの量に応答して、照射領域28内のターゲット液滴の位置におけるエラーを補正するように動作可能である。これは、例えば、ターゲットデリバリメカニズム92がターゲット液滴をリリースするポイントを再位置決めすることによって達成され得る。ターゲットデリバリメカニズム92はチャンバ26内へと延在し、ターゲットデリバリメカニズム92内のターゲット材料を圧力下に置くために、ターゲット材料及びガス源も外部に供給される。
[0038] 図1を続けると、放射源20は1つ以上の光学要素も含み得る。以下の考察において、こうした光学要素の例としてコレクタ30が使用されるが、考察は他の光学要素にも適用される。コレクタ30は、例えばMLM、すなわち、ボンディング又はバッキング層でコーティングされた炭化ケイ素(SiC)基板、及び、その後、熱誘導される層間拡散を効果的にブロックするために各インターフェイスに堆積される、例えば、BC、ZrC、Si、又はCの、付加的な薄いバリア層を備えるモリブデン/シリコン(Mo/Si)多層スタックとして実施される、法線入射リフレクタとすることが可能である。アルミニウム(Al)又はシリコン(Si)などの他の基板材料も使用可能である。コレクタ30は、レーザ放射が通過し、照射領域28に到達できるようにするための、アパーチャを備える、長楕円の形とすることができる。コレクタ30は、例えば、照射領域28における第1のフォーカス及びいわゆる中間ポイント40(中間フォーカス40とも呼ばれる)における第2のフォーカスを有する、楕円の形状とすることができ、EUV放射は、EUV放射源20から出力し、例えばレチクル又はマスク54を使用する既知の手法でシリコンウェーハ被加工物52を処理するために放射を使用する、例えば、集積回路リソグラフィ又は検査ツール50に入力することができる。シリコンウェーハ被加工物52は、その後、集積回路デバイスを取得するために、既知の手法で付加的に処理される。集積回路リソグラフィツール50は、検査システム56及び顕微鏡58を含み得る。
[0039] MLMコレクタ30の例が、こうしたコレクタの一部を介した断面である、図2に示されている。その図を見ればわかるように、コレクタ30は基板100を含む。多層スタック110が基板100上に配置される。多層スタック110は、既知の様式で、例えばモリブデン及びシリコンの材料の交互の層のスタックで構成される。多層コーティング110の上には、典型的には最外部層及び一連の繰り返し2重層で構成される、キャッピング層120が配置される。基板100と多層スタック110との間には、ボンディング又はバッキング層130が存在する。
[0040] 再度図2を参照すると、キャップ120の最上部層は、好ましくはターゲット材料堆積に対して高い耐性を備える窒化物又は酸化物である。実際には、これらは好ましくは、スタンナンの高形成率を可能にするために、原子状水素について低い再結合率を有する材料である。これらは、典型的には、約10−4から約10−3の範囲内の水素再結合係数を有する材料である。実際上、これは、HがHと再結合する前にSnと反応できることから、好ましい材料が良好なスズクリーニング率を示すことを意味する。例として、金属ステンレス鋼は、2.2×10−3の再結合係数を有する。キャップ120の最上部層130に好適な材料は、好ましくは、入射イオンについての良好なエネルギー削減及び低い2次電子収率も示す。低い再結合係数、入射イオンについての良好なエネルギー削減、及び低い2次電子収率を有する材料の例は、ZrN、TiO、Ta、及びZrOを含む。
[0041] コレクタ30の表面によって吸着された原子水素は、その上部(最外部)層における欠陥を介してコレクタ30に浸入する可能性がある。この水素は、コレクタ30のバッキング層130を介して拡散し、バッキング層130と基板100との間のインターフェイス140に集まることが可能である。水素の凝集は気泡の形成につながり、インターフェイス140においてギャップが成長し得る。これらのギャップは、結果として、コレクタ30と、基板100の冷却によって多層コーティング110を冷却するために提供される冷却システム(図示せず)との間の熱伝導経路内に、表面ブリスタ及び不連続性を生じさせる。
[0042] ブリスタ形成、及びインターフェイス140での基板100からのバッキング層130の分離を防ぐために、本発明の一態様によれば、バッキング層内への水素の拡散を防ぐためにバッキング層130内に、及び、ブリスタ形成を効果的に防ぐために基板100の非晶質シリコン材料内に、ヘリウムなどの非水素ガスの化学種(イオン又はエネルギー中性子)が注入される。本明細書、並びに本説明及び特許請求の範囲で使用される場合、「非水素ガス」とは、主に、水素以外のガスで構成されるガスを意味する。ヘリウムは、相対的に不活性且つ不動であるため、注入用に適切な選択肢であると見なされるが、他の不活性ガスも使用可能である。バッキング層130の注入によって水素拡散バリアが作成され、これを介した水素の拡散又は浸入が低速化されるか又は防止される。この水素拡散バリアは、単に化学種が内部に注入されたバッキング層の領域として、又は別の層として、概念化され得る。注入エネルギー及び注入深さは、水素拡散バリアがバッキング層130と基板100との間のインターフェイスにおけるバッキング層130内に作成されるように選択可能であるか、又は、注入エネルギーは、水素拡散バリアがバッキング層130のより狭い領域を占有するように選択可能である。一般に、注入は深さの範囲全体にわたって生じることになり、化学種には、したがって水素拡散バリアには、平均深さが存在することになることを、理解されよう。
[0043] 下記の考察は、例としてヘリウムイオンに関するものであるが、他の中性元素も使用可能である。また前述のように、イオンの代わりにエネルギー中性原子が使用可能である。エネルギー中性イオンは、いくつかのやり方で作成可能である。例えば、高エネルギーイオンは、イオンと冷中性原子との間の電荷交換衝突がエネルギー中性原子以外の冷イオンを作成する、ガスを介して輸送可能である。実際には、イオンはそのエネルギーを維持するが、中性原子から電子を取り出す。ヘリウムの場合、この電荷交換は以下のようなアルファ・ヘリウム電荷交換の形を取ることが可能であり、
He2++He→He+He2+
上式で、左側のHe2+はエネルギーヘリウムイオンであり、左側のHeは冷ヘリウム原子であり、右側のHeはエネルギー中性ヘリウム原子であり、右側のHe2+は冷ヘリウムイオンである。その後、エネルギー中性ヘリウム原子は注入され得る。
[0044] 図3は、注入されたバッキング層を有するEUV光学要素を製造するための例示の配置を示す。下記の考察は、ヘリウムイオン又はエネルギー中性子の注入に関するが、他のガスのイオン又はエネルギー中性子も使用可能であることに留意されたい。図に示されたEUV光学要素の製造中の処理ステップとして、ヘリウムイオン150がバッキング層130に注入される。注入の深さは、Heイオンエネルギーを変化させることによって調節可能である。Heイオンフルエンスは、曝露時間を調節することによって調節可能である。加えて、フラックス(熱負荷)は、ガス密度を制御することによって調節可能である。また、プロセスは、ヘリウム種の導入前又は後の、基板100の熱アニール及び基板100の脱着などの、付加的ステップを含むことができる。
[0045] ヘリウムイオンの所望のエネルギーは、モデリング(シミュレーションコード、例えば、物質内イオンの停止範囲(「SRIM」)/物質内イオンの輸送(「TRIM」)コード)と、実験的試験との組み合わせによって、決定可能である。J.F.Ziegler、M.D.Ziegler及びJ.P.Biersackによる「SRIM-The Stopping and Range of Ions in Matter」、Nuclear Instruments and Methods in Physics Research Section B:Beam Interactions with Materials and Atoms、Volume 268、Issues 11-12、2010年6月、pp.1818-1823を参照されたい。その全体が参照により組み込まれている。現在、5.0nmまでの範囲を有する約100eVヘリウムエネルギーのヘリウムを、5.3g/cm付近の密度の材料内で約1.0〜2.0nmで発生する最大イオン濃度で使用することが好ましい。このイオンエネルギーは、表面損傷を発生させるべきではなく、バッキング層130と基板100との間のインターフェイスのごく近くにHeを置く。この例についてのTRIMコードを使用するサンプル計算を下記に示す。材料内でのH、H、及びHeのトラップ/輸送/浸入の実験的検証は、核反応分析(NRA)及び熱脱離分光法(TDS)を介して取得可能である。
[0046]
[0047] この注入層は、例えば、Heガスをソース160から、イオン化されるカソード源170に誘導することによって、作成可能である。イオンエネルギーは、バイアス電圧源180を使用してカソード源170と基板100との間の電圧勾配(バイアス)を制御することによって制御される。この配置によって、大きな表面を一度に暴露させ、プロセスを実施するために必要な時間を最小限にすることが可能である。このプロセスは、従来のコーティングプロセスの一部として実施可能である。もちろん上記で説明する方法は、イオンの発生及び注入の可能な方法のうちの1つのみである。当業者であれば、他の方法が使用可能であることが明らかとなろう。注入プロセスに続き、MLMスタック110を堆積させることができる。
[0048] 上記で説明したこのプロセスは、新しいEUV光学要素の製造時のみならず、既存のEUV光学要素の再コーティングの一部としても使用可能である。これによって、MLM除去に続く処理により、設置されたコレクタの再使用及び寿命延長が可能となる。この方法は、バッキング層130に使用される現行材料に、並びに、将来使用され得ることが予測される材料にも、適用可能である。H、H、及びHeの高フルエンスにサンプルを相対的に短時間曝露することが可能なプラズマ源を使用して、ソリューションを最適化するための高度なライフサイクル試験が達成可能である。例えば、プラズマがデバイスの一方の端部で作成され、デバイスの他方の端部に輸送される、線形プラズマデバイスを使用することが可能である。典型的には、H、Ar、又はHeなどのガスをイオン化するためにe−が放出されるように、LaBカソードが加熱される。プラズマ密度はかなり高い可能性があるが、通常、温度は10eV未満である。このプラズマ内では、表面に対する正味イオンエネルギーを設定するために、サンプルをバイアスすることが可能である。
[0049] 前述の説明は、ヘリウムを使用するプロセスに関するものであるが、アルゴン又はネオンなどの他の相対的に不活性な不動のガス、並びに非不活性ガスも使用可能である。
[0050] 前述の原理は、ヘリウム曝露/注入を使用するEUV光学要素の修復又は予防的措置にも適用可能である。図4に示されるように、EUV光学要素は、その表面に微細な損傷(例えば、nm〜μm規模のスクラッチ/損傷)を有する場合がある。この損傷は、図4では、コレクタ30の頂部表面における途切れ部分190として示されている。本明細書では一例としてコレクタ30が説明されているが、本明細書で説明する原理は他のEUV光学要素にも適用可能である。本発明の原理を使用して、Hの吸着及び拡散を禁止又は防止するために、He150をコレクタ30の表面近くに注入することができる。Hを外へ出してHeと置き換えるために、コレクタ30をHe種フラックスに時折(例えば、設定された間隔で)曝露させることも可能であり、水素主導の原因によって引き起こされる損傷の確率を減少させることが可能である。図4の実施形態ではキャッピング層120が存在し、注入されるが、キャッピング層120が使用されない場合、イオンはMLMスタック110の頂部表面内に直接注入することができる。
[0051] 前述のように、本発明の原理は有利には、MLMとしての実施に加えて、EUV光学要素に適用可能である。例えば、本発明の原理は、MLMとして実施されないかすめ入射ミラーに適用可能である。曝露の前又は後にレチクルに、及びEUVマスクの保護に、及び、チャンバ26内の光学系のための保護カバーとして使用されるペリクルにも、適用可能である。ライナ、翼、及び液滴ジェネレータの構成要素などの、水素拡散又は脆化が問題である適用例においても、使用可能である。これは図5に示されており、EUV構成要素200にヘリウム原子又はイオン150が注入される。EUV構成要素200は、ミラー又はレチクルなどの光学要素であり得るか、或いは、ペリクル、ライナ、翼、又は液滴ジェネレータ構成要素などの別の構成要素であり得る。EUV構成要素200は、キャッピング層120、バルク材料層210、バッキング層130、及び基板100を有するものとして示されているが、キャッピング層120、バッキング層130、及び基板100は、関連する特定タイプのEUV構成要素に応じて、存在してもしなくてもよい。キャッピング層120が存在する場合、ヘリウム注入は、バルク材料層210内へのヘリウム注入に加えて、又はその代替として、キャッピング層120内で実行することが可能である。キャッピング層120が存在しない場合、ヘリウム注入はバルク材料層210内で実行することが可能である。基板100が存在する場合、ヘリウム注入プロセスは、ヘリウム種の導入前又は後の、基板100の熱アニール及び基板100の脱着などの、付加的なステップを含むことができる。
[0052] 例として、コーティングされていないCu光学系も、同様のブリスタ形成問題によって損傷しやすい可能性がある。こうした光学系により長い耐用年数を与えるために、He注入及び/又はHeフラックスに対する日常の曝露も使用可能である。ヘリウムなどのガスからのイオンが注入された上部表面は、EUV構成要素において現在使用されているコーティングを置き換えるか又は補足することも可能である。
[0053] 本発明の原理は、水素に曝露される化学線検査システムなどの検査システムの構成要素、及び、EUVマスクを検査するために使用される顕微鏡などの光学機器にも、適用可能である。
[0054] 上記の説明は、1つ以上の実施形態の例を含む。もちろん、前述の実施形態を説明する目的で、構成要素又は方法の考え得るあらゆる組み合わせを説明することは不可能であるが、当業者であれば、様々な実施形態の多くの更なる組み合わせ及び並べ替えが可能であることを理解されよう。したがって説明した実施形態は、添付の特許請求の範囲の趣旨及び範囲内に入る、こうした変更、修正、及び変形のすべてを包含するものとは意図されていない。更に、「含む」という用語が詳細な説明又は特許請求の範囲のいずれかで使用される限り、こうした用語は、「備える」という用語と同様に包括的であるものと意図され、これは「備える」が、請求項において遷移的な語として採用されていると解釈されるためである。更に、説明する態様及び/又は実施形態の要素は単数形で説明又は請求され得るが、単数形に限定することが明示的に示されていない限り、複数形が考えられる。加えて、任意の態様及び/又は実施形態のすべて又は一部は、特に指定のない限り、任意の他の態様及び/又は実施形態のすべて又は一部と共に使用可能である。

Claims (10)

  1. EUV放射を発生させるためのシステムにおいて使用するための多層ミラーであって、前記多層ミラーは、EUV放射を発生させるための前記システムの動作中に水素イオンに曝露され、前記多層ミラーは、
    基板、
    前記基板上のバッキング層、及び、
    前記バッキング層上の多層コーティング、
    を含み、
    前記バッキング層は、不活性ガスの化学種が注入された領域を備える水素拡散バリアを含む、
    多層ミラー。
  2. 前記不活性ガスの前記化学種は前記不活性ガスのイオンを含む、請求項に記載の多層ミラー。
  3. 前記不活性ガスの前記化学種は前記不活性ガスのエネルギー中性原子を含む、請求項に記載の多層ミラー。
  4. 前記不活性ガスはヘリウムを含む、請求項1〜3のいずれかに記載の多層ミラー。
  5. 半導体フォトリソグラフィ又は検査のための装置であって、
    レーザ放射源と、
    極端紫外線放射を生成するためにターゲット材料が前記レーザ放射源によって照射される照射領域に前記ターゲット材料を送達するための、ターゲットデリバリシステムと、
    前記極端紫外線放射を収集するように配置された反射光学要素であって、前記反射光学要素は多層スタック及びバッキング層を含む多層ミラーを備え、前記バッキング層は、不活性ガスの化学種が注入された領域を備える水素拡散バリアを含む、反射光学要素と、
    を備える、装置。
  6. 前記不活性ガスの前記化学種は前記不活性ガスのイオンを含む、請求項5に記載の装置
  7. 前記不活性ガスの前記化学種は前記不活性ガスのエネルギー中性原子を含む、請求項5に記載の装置。
  8. EUV放射を発生させるためのシステムにおいて使用するための多層ミラーを取り扱う方法であって、前記多層ミラーは、EUV放射を発生させるための前記システムの動作中に水素イオンに曝露され、
    バッキング層を曝露するために前記多層ミラーの多層コーティングを除去するステップと、
    不活性ガスの化学種を前記バッキング層に注入するステップと、
    多層コーティングを前記バッキング層上に配置するステップと、
    を含む、方法。
  9. 前記不活性ガスの前記化学種は前記不活性ガスのイオンを含む、請求項8に記載の方法
  10. 前記不活性ガスの前記化学種は前記不活性ガスのエネルギー中性原子を含む、請求項8に記載の方法。
JP2018534783A 2016-01-12 2016-11-17 水素輸送に対するバリアを有するeuv要素 Active JP6830104B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662277807P 2016-01-12 2016-01-12
US62/277,807 2016-01-12
US201662278923P 2016-01-14 2016-01-14
US62/278,923 2016-01-14
US15/338,835 2016-10-31
US15/338,835 US10128016B2 (en) 2016-01-12 2016-10-31 EUV element having barrier to hydrogen transport
PCT/US2016/062618 WO2017123323A1 (en) 2016-01-12 2016-11-17 Euv element having barrier to hydrogen transport

Publications (2)

Publication Number Publication Date
JP2019508728A JP2019508728A (ja) 2019-03-28
JP6830104B2 true JP6830104B2 (ja) 2021-02-17

Family

ID=59275028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018534783A Active JP6830104B2 (ja) 2016-01-12 2016-11-17 水素輸送に対するバリアを有するeuv要素

Country Status (6)

Country Link
US (1) US10128016B2 (ja)
JP (1) JP6830104B2 (ja)
KR (1) KR20180101515A (ja)
CN (1) CN108463747A (ja)
TW (1) TWI704375B (ja)
WO (1) WO2017123323A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016213831A1 (de) * 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
KR102574161B1 (ko) 2018-02-06 2023-09-06 삼성전자주식회사 펠리클 및 이를 포함하는 레티클
WO2020094449A1 (en) * 2018-11-08 2020-05-14 Sabic Global Technologies B.V. A process for the preparation of ethylene homo- or copolymers in a tubular reactor
US11262664B2 (en) * 2019-11-19 2022-03-01 Kla Corporation System and method for protecting optics from vacuum ultraviolet light
CN114747298A (zh) * 2019-11-27 2022-07-12 Asml荷兰有限公司 用于光学系统的抑制剂物质
US20210335599A1 (en) * 2020-04-28 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Euv photomask and related methods
US11561482B2 (en) 2021-06-18 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reducing hydrogen permeation from lithographic tool
JPWO2023286669A1 (ja) 2021-07-12 2023-01-19
KR20230112840A (ko) 2022-01-21 2023-07-28 한국표준과학연구원 펠리클 성능 평가 시스템 및 방법

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04120732A (ja) * 1990-09-12 1992-04-21 Hitachi Ltd 固体素子及びその製造方法
DE10065976A1 (de) * 2000-02-25 2002-02-21 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US7671349B2 (en) 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
US6756163B2 (en) 2002-06-27 2004-06-29 Intel Corporation Re-usable extreme ultraviolet lithography multilayer mask blank
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US7075713B2 (en) 2003-05-05 2006-07-11 University Of Central Florida Research Foundation High efficiency collector for laser plasma EUV source
KR100571655B1 (ko) * 2004-06-23 2006-04-17 주식회사 하이닉스반도체 랜딩 플러그 콘택 구조를 가진 반도체 소자 제조방법
US7355672B2 (en) * 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
JP2006177740A (ja) * 2004-12-22 2006-07-06 Nikon Corp 多層膜反射鏡及びeuv露光装置
JP2007127698A (ja) * 2005-11-01 2007-05-24 Nikon Corp 多層膜反射鏡、その再生方法および露光装置
JP2007165788A (ja) * 2005-12-16 2007-06-28 Tokyo Electron Ltd 金属系膜の脱炭素処理方法、成膜方法および半導体装置の製造方法
US20080026545A1 (en) * 2006-07-28 2008-01-31 Paul Cooke Integrated devices on a common compound semiconductor III-V wafer
EP2087510A4 (en) * 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
CN102067283A (zh) * 2008-06-19 2011-05-18 旭硝子株式会社 Euv光刻用反射型掩模基板
CN102138185B (zh) * 2008-07-07 2015-09-09 皇家飞利浦电子股份有限公司 包含耐溅射材料的极端紫外线辐射反射元件
NL1036803A (nl) * 2008-09-09 2010-03-15 Asml Netherlands Bv Radiation system and lithographic apparatus.
KR20110084950A (ko) * 2008-10-17 2011-07-26 에이에스엠엘 네델란즈 비.브이. 컬렉터 조립체, 방사선 소스, 리소그래피 장치 및 디바이스 제조 방법
CN102203907B (zh) * 2008-10-30 2014-03-26 旭硝子株式会社 Euv光刻用反射型掩模基板
NL2004787A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
US9329503B2 (en) * 2010-05-27 2016-05-03 Asml Netherlands B.V. Multilayer mirror
EP2622609A1 (en) * 2010-09-27 2013-08-07 Carl Zeiss SMT GmbH Mirror, projection objective comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection objective
DE112012000658T5 (de) * 2011-02-04 2013-11-07 Asahi Glass Company, Limited Substrat mit leitendem Film, Substrat mit Mehrschicht-Reflexionsfilm und Reflexionsmaskenrohling für eine EUV-Lithographie
JP2012222349A (ja) * 2011-04-05 2012-11-12 Asml Netherlands Bv 多層ミラーおよびリソグラフィ装置
US9516730B2 (en) 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
DE102011077983A1 (de) 2011-06-22 2012-12-27 Carl Zeiss Smt Gmbh Verfahren zur Herstellung eines reflektiven optischen Elements für die EUV-Lithographie
JP2013122952A (ja) * 2011-12-09 2013-06-20 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクおよびその製造方法、ならびに該マスクブランク用の反射層付基板の製造方法
JP6002411B2 (ja) * 2012-03-28 2016-10-05 芝浦メカトロニクス株式会社 Euvマスク製造方法およびeuvマスク製造装置
DE102012207125A1 (de) 2012-04-27 2013-03-28 Carl Zeiss Smt Gmbh Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
US20150202564A1 (en) * 2013-01-22 2015-07-23 Robert E. Kirby Method For Permeation Extraction of Hydrogen From an Enclosed Volume
US9773578B2 (en) * 2013-02-15 2017-09-26 Asml Netherlands B.V. Radiation source-collector and method for manufacture
DE102013102670A1 (de) * 2013-03-15 2014-10-02 Asml Netherlands B.V. Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zur Behandlung eines solchen optischen Elements
KR102206507B1 (ko) * 2013-06-26 2021-01-22 후지 덴키 가부시키가이샤 반도체 장치 및 반도체 장치의 제조 방법
EP2905637A1 (en) 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
US9690016B2 (en) * 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof

Also Published As

Publication number Publication date
WO2017123323A1 (en) 2017-07-20
KR20180101515A (ko) 2018-09-12
US10128016B2 (en) 2018-11-13
US20170200523A1 (en) 2017-07-13
TWI704375B (zh) 2020-09-11
JP2019508728A (ja) 2019-03-28
CN108463747A (zh) 2018-08-28
TW201734559A (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
JP6830104B2 (ja) 水素輸送に対するバリアを有するeuv要素
JP6793644B2 (ja) プラズマベース光源
JP6527154B2 (ja) ブリスタ耐性のある多層キャップを有するeuv光学素子
EP1896197B1 (en) Method of cleaning and after treatment of optical surfaces in an irradiation unit
TWI669027B (zh) 以電漿為基礎之照射裝置、檢測系統及光微影系統
US11275318B2 (en) Radiation source for lithography process
JP6687691B2 (ja) 放射源およびリソグラフィのための方法
TWI324281B (en) Method and device for removing particles generated by means of a radiation source during generation of short-wave radiation
US20050211910A1 (en) Morphology and Spectroscopy of Nanoscale Regions using X-Rays Generated by Laser Produced Plasma
Hansson et al. Status of the liquid-xenon-jet laser-plasma source for EUV lithography
WO2023079042A1 (en) High-brightness laser produced plasma source and method of generating and collecting radiation
US20190265594A1 (en) Debris Mitigation System, Radiation Source and Lithographic Apparatus
US12028958B2 (en) High-brightness laser produced plasma source and method of generation and collection radiation
US20220132647A1 (en) High-brightness laser produced plasma source and method of generation and collection radiation
US20160091803A1 (en) Method of and apparatus for in-situ repair of reflective optic
TW202347021A (zh) 表膜清潔系統
KR20240087651A (ko) 고휘도 레이저 생성 플라즈마 소스 및 방사선 생성 및 수집 방법
Tillack et al. Grazing-Incidence Metal Mirrors for Laser-IFE
CA3083281A1 (en) Method and system for stress testing of materials

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180904

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210125

R150 Certificate of patent or registration of utility model

Ref document number: 6830104

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250