JP6770958B2 - ランドスケープの解析および利用 - Google Patents

ランドスケープの解析および利用 Download PDF

Info

Publication number
JP6770958B2
JP6770958B2 JP2017528095A JP2017528095A JP6770958B2 JP 6770958 B2 JP6770958 B2 JP 6770958B2 JP 2017528095 A JP2017528095 A JP 2017528095A JP 2017528095 A JP2017528095 A JP 2017528095A JP 6770958 B2 JP6770958 B2 JP 6770958B2
Authority
JP
Japan
Prior art keywords
measurement
landscape
overlay
target
pupil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017528095A
Other languages
English (en)
Japanese (ja)
Other versions
JP2017537317A (ja
JP2017537317A5 (ko
Inventor
タル マルチアーノ
タル マルチアーノ
バラク ブリンゴルツ
バラク ブリンゴルツ
エフゲニー グレヴィッチ
エフゲニー グレヴィッチ
イド アダム
イド アダム
ザエフ リンデンフェルド
ザエフ リンデンフェルド
ゼン ジャオ
ゼン ジャオ
ヨエル フェレル
ヨエル フェレル
ダニエル カンデル
ダニエル カンデル
ナダフ カルメル
ナダフ カルメル
アムノン マナッセン
アムノン マナッセン
ヌリエル アミル
ヌリエル アミル
オデド カミンスキー
オデド カミンスキー
タル ヤジフ
タル ヤジフ
オフェル ザハラン
オフェル ザハラン
モシェ クーパー
モシェ クーパー
ロエー スリマルスキー
ロエー スリマルスキー
トム レヴィアント
トム レヴィアント
ノガ セラ
ノガ セラ
ボリス エフラティ
ボリス エフラティ
リラク サルトーン
リラク サルトーン
アミル ハンデルマン
アミル ハンデルマン
エルサフォン アシュワル
エルサフォン アシュワル
オハド バチャール
オハド バチャール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2017537317A publication Critical patent/JP2017537317A/ja
Publication of JP2017537317A5 publication Critical patent/JP2017537317A5/ja
Application granted granted Critical
Publication of JP6770958B2 publication Critical patent/JP6770958B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)
JP2017528095A 2014-11-25 2015-11-24 ランドスケープの解析および利用 Active JP6770958B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462083891P 2014-11-25 2014-11-25
US62/083,891 2014-11-25
US201562100384P 2015-01-06 2015-01-06
US62/100,384 2015-01-06
PCT/US2015/062523 WO2016086056A1 (en) 2014-11-25 2015-11-24 Analyzing and utilizing landscapes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020162494A Division JP7023337B2 (ja) 2014-11-25 2020-09-28 測定方法

Publications (3)

Publication Number Publication Date
JP2017537317A JP2017537317A (ja) 2017-12-14
JP2017537317A5 JP2017537317A5 (ko) 2019-01-10
JP6770958B2 true JP6770958B2 (ja) 2020-10-21

Family

ID=56075006

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017528095A Active JP6770958B2 (ja) 2014-11-25 2015-11-24 ランドスケープの解析および利用
JP2020162494A Active JP7023337B2 (ja) 2014-11-25 2020-09-28 測定方法
JP2022018205A Pending JP2022065040A (ja) 2014-11-25 2022-02-08 測定方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020162494A Active JP7023337B2 (ja) 2014-11-25 2020-09-28 測定方法
JP2022018205A Pending JP2022065040A (ja) 2014-11-25 2022-02-08 測定方法

Country Status (8)

Country Link
US (1) US10831108B2 (ko)
JP (3) JP6770958B2 (ko)
KR (1) KR102269514B1 (ko)
CN (2) CN112698551B (ko)
IL (1) IL251972B (ko)
SG (1) SG11201703585RA (ko)
TW (2) TWI719804B (ko)
WO (1) WO2016086056A1 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
WO2016187468A1 (en) 2015-05-19 2016-11-24 Kla-Tencor Corporation Topographic phase control for overlay measurement
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
IL256196B (en) 2015-06-17 2022-07-01 Asml Netherlands Bv Prescription selection based on inter-prescription composition
EP3387371B1 (en) 2015-12-08 2023-04-19 KLA-Tencor Corporation Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination
CN113376973A (zh) 2015-12-17 2021-09-10 Asml荷兰有限公司 量测设备的调节或基于已测量目标的特性而由量测设备进行的测量
CN108701625B (zh) 2016-02-24 2023-07-14 科磊股份有限公司 光学计量的准确度提升
WO2017146785A1 (en) 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6703612B2 (ja) 2016-02-26 2020-06-03 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、およびデバイス製造方法
KR102238466B1 (ko) 2016-04-22 2021-04-09 에이에스엠엘 네델란즈 비.브이. 스택 차이의 결정 및 스택 차이를 사용한 정정 기술
KR102205364B1 (ko) * 2016-05-17 2021-01-20 에이에스엠엘 네델란즈 비.브이. 관통-파장 유사성에 기초한 계측 견실성 향상 기술
CN109478023B (zh) * 2016-07-15 2021-09-10 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
WO2018033342A1 (en) * 2016-08-17 2018-02-22 Asml Netherlands B.V. Substrate measurement recipe design of, or for, a target including a latent image
KR102265164B1 (ko) * 2016-09-27 2021-06-15 에이에스엠엘 네델란즈 비.브이. 계측 레시피 선택
EP3299890A1 (en) * 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
JP6880184B2 (ja) * 2016-11-10 2021-06-02 エーエスエムエル ネザーランズ ビー.ブイ. スタック差を使用した設計及び補正
KR102271217B1 (ko) 2016-11-14 2021-06-30 케이엘에이 코포레이션 향상된 기능성들을 갖는 통합된 계측 툴들을 구비한 리소그래피 시스템
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
US10824079B2 (en) * 2017-01-03 2020-11-03 Kla-Tencor Corporation Diffraction based overlay scatterometry
FR3062516B1 (fr) 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
KR102301556B1 (ko) * 2017-05-22 2021-09-13 케이엘에이 코포레이션 레시피 최적화 및 측정을 위한 구역 분석
WO2018228820A1 (en) 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10866090B2 (en) * 2017-07-06 2020-12-15 Kla-Tencor Corporation Estimating amplitude and phase asymmetry in imaging technology for achieving high accuracy in overlay metrology
US10401738B2 (en) 2017-08-02 2019-09-03 Kla-Tencor Corporation Overlay metrology using multiple parameter configurations
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US10627720B2 (en) 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
KR102327116B1 (ko) * 2017-08-30 2021-11-16 케이엘에이 코포레이션 프로세스 변동에 따른 계측 측정 파라미터들의 신속한 조정
US10699969B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corporation Quick adjustment of metrology measurement parameters according to process variation
KR102390687B1 (ko) 2017-09-11 2022-04-26 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스들에서의 계측
EP3462239A1 (en) * 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
US10565697B2 (en) * 2017-10-22 2020-02-18 Kla-Tencor Corporation Utilizing overlay misregistration error estimations in imaging overlay metrology
US11199506B2 (en) 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
EP3762776A4 (en) * 2018-03-19 2021-12-22 Kla-Tencor Corporation MEASURING THE OVERLAP USING MULTIPLE WAVELENGTHS
KR102586405B1 (ko) * 2018-06-14 2023-10-10 노바 엘티디. 반도체 제조용 측정 및 공정 제어
US10962951B2 (en) 2018-06-20 2021-03-30 Kla-Tencor Corporation Process and metrology control, process indicators and root cause analysis tools based on landscape information
NL2021852A (en) * 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US11410111B1 (en) * 2018-08-08 2022-08-09 Wells Fargo Bank, N.A. Generating predicted values based on data analysis using machine learning
SG11202104681RA (en) 2018-11-21 2021-06-29 Kla Tencor Corp Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
US11062928B2 (en) 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
WO2020123014A1 (en) 2018-12-14 2020-06-18 Kla Corporation Per-site residuals analysis for accurate metrology measurements
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
JP7153147B2 (ja) * 2019-02-22 2022-10-13 ケーエルエー コーポレイション 半導体デバイスの位置ずれ測定方法及び装置
KR102517587B1 (ko) * 2019-07-10 2023-04-03 케이엘에이 코포레이션 데이터 기반 오정렬 파라미터 구성 및 측정 시스템 및 방법
US11360397B2 (en) * 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11725934B2 (en) 2019-11-28 2023-08-15 Kla Corporation Systems and methods for metrology optimization based on metrology landscapes
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20220028716A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Substrate measurement subsystem
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11454894B2 (en) * 2020-09-14 2022-09-27 Kla Corporation Systems and methods for scatterometric single-wavelength measurement of misregistration and amelioration thereof
WO2023096704A1 (en) * 2021-11-27 2023-06-01 Kla Corporation Improved targets for diffraction-based overlay error metrology
IL293633B1 (en) * 2022-06-06 2024-02-01 Nova Ltd A system and method for building a library and using it in measurements on designed buildings

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7352453B2 (en) 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
KR101565071B1 (ko) 2005-11-18 2015-11-03 케이엘에이-텐코 코포레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템
US7528941B2 (en) 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
JP6008851B2 (ja) * 2010-07-19 2016-10-19 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ誤差を決定する方法及び装置
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
KR101492205B1 (ko) * 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
CN103582819B (zh) * 2011-04-06 2016-09-14 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US8681413B2 (en) 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
NL2011726A (en) * 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9341769B2 (en) 2012-12-17 2016-05-17 Kla-Tencor Corporation Spectral control system
US9512985B2 (en) 2013-02-22 2016-12-06 Kla-Tencor Corporation Systems for providing illumination in optical metrology
US9910953B2 (en) * 2013-03-04 2018-03-06 Kla-Tencor Corporation Metrology target identification, design and verification
US9909982B2 (en) * 2013-03-08 2018-03-06 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
JP6336068B2 (ja) * 2013-08-07 2018-06-06 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
WO2015031337A1 (en) * 2013-08-27 2015-03-05 Kla-Tencor Corporation Removing process-variation-related inaccuracies from scatterometry measurements
US9518916B1 (en) * 2013-10-18 2016-12-13 Kla-Tencor Corporation Compressive sensing for metrology
SG10201804964TA (en) * 2013-12-11 2018-07-30 Kla Tencor Corp Target and process sensitivity analysis to requirements
US10365230B1 (en) * 2014-03-19 2019-07-30 Kla-Tencor Corporation Scatterometry overlay based on reflection peak locations
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
WO2016037003A1 (en) * 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
EP3387371B1 (en) * 2015-12-08 2023-04-19 KLA-Tencor Corporation Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination

Also Published As

Publication number Publication date
WO2016086056A1 (en) 2016-06-02
SG11201703585RA (en) 2017-06-29
KR20170088403A (ko) 2017-08-01
CN107078074A (zh) 2017-08-18
TW201633419A (zh) 2016-09-16
JP2022065040A (ja) 2022-04-26
TWI711096B (zh) 2020-11-21
JP2017537317A (ja) 2017-12-14
IL251972B (en) 2022-03-01
KR102269514B1 (ko) 2021-06-25
US20160313658A1 (en) 2016-10-27
TWI719804B (zh) 2021-02-21
IL251972A0 (en) 2017-06-29
JP2020201293A (ja) 2020-12-17
TW202018836A (zh) 2020-05-16
CN112698551A (zh) 2021-04-23
CN107078074B (zh) 2021-05-25
US10831108B2 (en) 2020-11-10
JP7023337B2 (ja) 2022-02-21
CN112698551B (zh) 2024-04-23

Similar Documents

Publication Publication Date Title
JP7023337B2 (ja) 測定方法
JP7319342B2 (ja) 装置、方法及びプログラム
CN105849885B (zh) 多重图案化参数的测量
US20220107175A1 (en) Machine Learning in Metrology Measurements
US9874527B2 (en) Removing process-variation-related inaccuracies from scatterometry measurements
JP7011592B2 (ja) スキャトロメトリ計測におけるプロセス変動の根本原因の解析
JP2017537317A5 (ko)
KR20160011654A (ko) 파라미터 추적을 위한 계측 시스템 최적화
CN106796105A (zh) 多重图案化工艺的度量
KR20180005200A (ko) 모델 기반의 핫 스팟 모니터링
US10761023B2 (en) Diffraction-based focus metrology
DE102005063087A9 (de) Streustrahlungsmessungsverfahren mit Abgleich charakteristischer Signaturen
TW201510476A (zh) 用於繞射結構之光譜資訊之計算的傅立葉諧波序數的自動判定
CN113039407A (zh) 单个单元灰度散射术重叠目标及其使用变化照明参数的测量

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200406

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200605

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200901

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200928

R150 Certificate of patent or registration of utility model

Ref document number: 6770958

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250