JP6539142B2 - マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差の補正 - Google Patents

マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差の補正 Download PDF

Info

Publication number
JP6539142B2
JP6539142B2 JP2015148336A JP2015148336A JP6539142B2 JP 6539142 B2 JP6539142 B2 JP 6539142B2 JP 2015148336 A JP2015148336 A JP 2015148336A JP 2015148336 A JP2015148336 A JP 2015148336A JP 6539142 B2 JP6539142 B2 JP 6539142B2
Authority
JP
Japan
Prior art keywords
resist
intensity
profile
curvature
contour
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015148336A
Other languages
English (en)
Other versions
JP2016033656A (ja
Inventor
ユーナル ネツィー
ユーナル ネツィー
サンバル クリストフ
サンバル クリストフ
Original Assignee
ジェニシス ゲーエムベーハー
ジェニシス ゲーエムベーハー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジェニシス ゲーエムベーハー, ジェニシス ゲーエムベーハー filed Critical ジェニシス ゲーエムベーハー
Publication of JP2016033656A publication Critical patent/JP2016033656A/ja
Application granted granted Critical
Publication of JP6539142B2 publication Critical patent/JP6539142B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

本発明は、概して、光リソグラフィー用のマスクの作製の態様に関する。特に、マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差を補正する技術が提供される。その技術は、方法、コンピュータプログラム製品、及び、装置の形式で実行されることができる。
半導体デバイスの製造は、サブマイクロメーター領域における半導体構造を形成するための光リソグラフィーのような、精巧なプロセス技術に基づいている。光リソグラフィーは、半導体基板上の感光性レジストにパターンを転写するために、パターン形成されたマスクを通して光を投影する。実際のマスクパターンの目標のマスクレイアウトからの誤差が、リソグラフィープロセスの精度を悪化させることは、ただちに明白である。このため、多大な努力がマスク作製を改善することに払われてきた。
光リソグラフィー用のマスクは、一般的には、例えば、電子ビーム描画やレーザビーム描画のようなビームによるリソグラフィーを用いて作製される。ビームによるリソグラフィーは、ビームによる描画によって、マスク基板上の放射線感応レジストを露光する工程を含む。その後、レジストは、露光された(ポジ型レジストの場合において)、又は、未露光(ネガ型レジストの場合において)のレジスト部分を除去するために現像される。レジストが残っている部分は、マスク基板上のパターンの転写のための基礎として用いられる。このパターン転写工程は、例えば、パターンが形成されたレジストの開口領域において、そのレジストの下の遮光(マスキング)層をエッチングする工程を含んでもよい。レジストの除去後、遮光層の残っている、エッチングされなかった領域が、マスクパターンを形成する。
レジスト除去後の、エッチングされた遮光層のパターンは、しばしば、目標のマスクレイアウトからずれる(誤差を生じる)ことが知られている。そのような誤差には、ビームによる描画装置によってもたらされる誤差(例えば、ビームぼけ及び焦点誤差)、ビームによる描画の物理的な効果(例えば、電子散乱)、及び、プロセスによる誤差(例えば、レジストぼけ、現像とエッチングの一方又は両方によるプロセスローディング効果、及び、パターン転写効果)を含む様々な理由がある。
電子散乱の補正は、電子ビームリソグラフィーにおいて十分に確立されている。レジストが電子ビーム描画によって露光されるとき、電子散乱は、現像されたレジスト領域が露光されたレジスト領域を正確に反映することを妨げる。電子散乱は、(後方散乱によって)下層にあるマスク基板のみならず、レジストそれ自体の内部でも発生する。電子散乱の一つの結果として、他のマスクフィーチャ(パターン)に近接するマスクフィーチャの端部は、それらの意図された位置及び孤立したマスクフィーチャに比較して現像後に、変位される(この効果は近接効果と呼ばれる)。さらに、散乱された電子の一部は、ビームによる描画装置に向かってレジストを脱出し、その装置の対物レンズによって反射される(この効果はかぶりと呼ばれる)。後方散乱、近接効果、及び、かぶりの補正は、電子散乱による誤差を埋め合わせるために、今日広く用いられている。
光リソグラフィー補正(OPC)と呼ばれるさらなる補正技術では、半導体デバイスの全製造工程の間に蓄積される歪を補正することを目標としている。OPCでは、そのような歪を、複数のフィーチャの端部を動かす(変位させる)ことによって、又は、リソグラフィーマスクのパターンへ複数のフィーチャ領域を付加、または、そのパターンから除去することによって、補正する。このため、OPCでは、光リソグラフィーを予測し、実際の製造プロセスからの実験的なデータを用いて調整される。製造プロセスは特定のリソグラフィーマスクに基づいているので、どのようなマスクの不完全さも実験的なデータを通してOPCモデルに取り込まれ、従って、補正される。不利な点として、OPCの設計ではマスク作製プロセスを「凍結」させ、かつ、マスク作製によって生ずる歪(一方)と光リソグラフィーによる歪(他方)とを区別することができない。
OPCモデルの様々な欠点を考慮して、マスク作製によって生ずる歪を独自に設計する試みがなされてきた。たいていのマスクプロセス補正の試みは、コンボリューション・カーネルを用いた実験的なモデルとルールベースの処理に基づいている。しかしながら、実験的なモデルは、複雑なマスクレイアウトについては、しばしば失敗することが知られていた。さらに、モデルがマスク作製の物理と化学に基づいていないので、ある特定のモデルの有効性は、ある特定のマスクレイアウトを規定するある特定のデータセットに限定されていた。
マスク作製の物理と化学を考慮する試みでは、レジストの現像とパターン転写から生ずる誤差を模擬し、補正する。一例として、様々なエッチングのモデルがパターン転写による誤差を補正するために提案されてきた。そのようなエッチングのモデルでは、密度に依存したエッチング速度と開口領域に依存したエッチング速度を考慮している。
それにもかかわらず、反転図形のライン終端部又はコンタクトのようなあるフィーチャの形状では、それらのエッチング速度の効果を考慮しても十分に設計されることができない。他方、レジストの化学と(例えば、プラズマエッチングの場合の)エッチング工程の基礎にある物理との複雑性が、現在、所定の時間制約内でのプロセスの設計に必要とされる、コンピュータの計算パワーによるマスク作製プロセスの十分に網羅的な設計を妨げている。
目標のマスクレイアウトとエッチング後の遮光層の幾何学的形状の間の誤差を設計(モデル化)することが求められている。さらに、マスク作製の際にそのような誤差を補正することが求められている。
一つの態様によれば、マスクレイアウトの転写プロセスにおけるプロセスによる誤差を補正する方法が提供される。その方法は、遮光層上のレジストをビームによる描画によって露光するための強度プロファイルを、目標のマスクレイアウトとなるように、設計する工程と、前記強度プロファイルから、現像後の前記露光されたレジストの輪郭とプロファイルを設計する工程と、前記レジストの輪郭と前記レジストのプロファイルから、エッチング後の前記遮光層の幾何学的形状を設計する工程と、前記設計された幾何学的形状の前記目標のマスクレイアウトからの誤差に対して、該誤差の補正を決定する工程とを有している。
レジストがxy平面に平行、かつ、z軸に垂直に延在する位置空間を形成する3次元のレジスト座標系においては、レジストの輪郭はxy平面内の現像されたレジストの設計された範囲によって近似され、又はその設計された範囲として表されてもよい。レジストの輪郭は、例えば、レジストが現像後にまだ存在している領域とレジストが現像によって除去された領域の間の(仮定の)境界線によって設計されてもよい。レジストの高さは一般的にz方向に(すなわち、xy平面内のある距離の上方で)徐々に変化するので、レジストの輪郭は理想化されたものであることが理解されるであろう。
レジストのプロファイルは、z方向において現像されたレジストの設計された範囲によって近似され、又は、設計された範囲として表されてもよい。z方向におけるこの範囲は、xy平面内のある距離の上方に設計されてもよい。このような、レジストのプロファイルは単なるレジストの高さ以上のものを示してもよい。レジストのプロファイルは、部分的な、又は、大域的なレジストの側壁の角度を示してもよい。例えば、レジストのプロファイルは、設計されたレジストの勾配、及び/又は、設計されたレジストの曲率によって近似され、又は、表されてもよい(例えば、レジストの輪郭上の、又はレジスト輪郭に近接する点で)。設計されたレジストの勾配はz方向において決定されてもよい。設計されたレジストの曲率は、例えば、1又は2次元のマスクフィーチャの細部(例えば、角部、ライン終端部、コンタクト等)において、xy平面内で決定されてもよい。
レジストの輪郭は、様々な方法で設計されてよい。一例として、強度閾値がレジストの輪郭を設計するための強度プロファイルに適用されてもよい。このために、強度プロファイルは、一般的に、強度空間を形成する3次元の強度座標系において与えられてもよい。強度座標系においては、xy平面内の複数のマスクフィーチャを描画するためのビームによる描画強度がz軸に沿ってプロットされてもよい。その結果として生じる強度空間内のxy平面は、位置空間におけるxy平面に一致してもよい。
強度閾値は、レジストが現像後にまだ存在している領域とレジストが現像によって除去された領域の間の境界線を定義(規定)する、固定の、又は、可変の強度値でもよい。境界線の代わりに、レジストの輪郭は、現像によって除去されない、2次元のレジスト領域により定義されることもできる。そのような領域では、順番に、多角形、又は、他の、その周囲を定義する閉じた直線からなる幾何学的対象物を導くことができる。
レジストのプロファイルは同様に異なるアプローチによって設計されてもよい。レジストのプロファイルは強度プロファイルから設計されてもよい。一例として、強度の勾配(Intensity Gradient)が強度プロファイルから導かれてもよく、レジストのプロファイルを設計するために用いられてもよい。付加的に、又は代替として、強度の曲率は強度プラファイルから導かれてもよく、レジストのプロファイルを設計するために用いられてもよい。強度の勾配と強度の曲率の一方、又は、両方が強度座標系のxy平面内で決定されてもよい。
一つの実施態様において、エッチング後の遮光層の幾何学的形状を設計する工程は、レジストの輪郭上の、又は、輪郭に近接する一つの点を選択する工程と、選択された点での、又は、選択された点に近接する、レジストの輪郭とレジストのプロファイルを分析する工程と、分析の結果に依存して、選択された点からのエッチングを設計する工程とを有している。
レジストの輪郭は、選択された点での、又は選択された点に近接するレジストの輪郭の勾配と、選択された点での、又は選択された点に近接するレジストの輪郭の曲率と、選択された点での、又は選択された点に近接する(例えば、周囲の)レジスト領域と、選択された点での、又は選択された点に近接する(例えば、周囲の)レジストのない開口領域の少なくとも一つを決定するように分析されてもよい。レジスト輪郭の勾配、及び/又は、レジスト輪郭の曲率はxy平面内で決定されてもよい。
レジストのプロファイルは、選択された点での、又は、選択された点に近接するレジストの側壁の急峻さ(すなわち、側壁の角度)を決定するように、又は、設計するように分析されてもよい。付加的に、又は、代替として、レジストのプロファイルは、選択された点での、又は、選択された点に近接する強度プロファイルから導かれる、強度の勾配と強度の曲率の一方又は両方を通して設計されてもよい。強度の勾配と強度の曲率は、レジストの側壁の急峻さについての「予報値」として用いられてもよい。それらは、一般的に強度座標系のxy平面内で決定されてもよい。
レジストの輪郭とレジストのプロファイルを分析する工程は、強度の勾配と強度の曲率から、実効曲率を決定する工程を含んでもよい。そのような場合、選択された点からのエッチングは、実効曲率に基づいて設計されてもよい。一例として、エッチングは実効曲率から導かれるエッチング速度によって設計されてもよい。より詳細には、エッチング速度は所定の実効曲率に対してマッピングを行うこと(例えば、ルックアップテーブルの使用、又は、関数の関係に基づく操作)によって導かれることができる。一つの変形態様においては、実効曲率は強度の勾配から導かれる要素によって重みづけされた強度の曲率に一致する。その要素は、一般的に強度の勾配の値が減少するにつれて増加してもよい。
ある変形態様においては、エッチングは付加的に、又は、選択的に、選択された点での、又は、選択された点に近接するレジスト領域から導かれるエッチング速度によって設計されてもよい。さらに、付加的に、又は、選択的に、エッチング速度は選択された点での、又は、選択された点に近接する、レジストのない開口領域(「晒される領域」とも呼ばれる)から導かれてもよい。実効曲率について上述したのと同様な方法で、レジスト領域と開口領域の一方又は両方をある特定のエッチング速度に関連付けるマッピングが行われてもよい。このように、総合のエッチング速度は、実効曲率、レジスト領域、レジストのない開口領域、及び他のパラメータのうちの二つ以上から導かれる複合のエッチング速度の寄与率を含んでいてもよい。
本発明の方法は、さらに、誤差の補正を有効にする工程を含んでよい。その補正は、様々な方法で、例えば、目標のマスクレイアウトの補正を有効にすることによって、有効にされることができる。目標のマスクレイアウトにおいては、例えば、複数のフィーチャ端部が変位されてもよく、及び/又は、複数の領域(例えば、複数の多角形)が複数のフィーチャから除去、又は、複数のフィーチャに付加されてもよい。そして、補正された目標のマスクレイアウトが、ビームによる描画プロセスを制御するために用いられてもよい。目標のマスクレイアウトの補正に加えて、又は、代替として、ビームによる描画の放射量が調整されてもよい。一例として、ビームによる描画の放射量は、目標のマスクレイアウトだけに基づいて導かれるビームによる描画の放射量に比較して(すなわち、未調整のビームによる描画の放射量に比較して、)、局所的に増加されても、又は、減少されてもよい。
本発明の方法は、さらに、レジストが被覆された遮光層を備えたマスク基板を準備する工程と、ビームによる描画によってレジストを露光する工程とを有している。ビームによる描画は、補正されたマスクレイアウトと補正されたビームによる描画の放射量の少なくとも一方を考慮することによって制御されてもよい。
また、本明細書に記載された方法と方法の態様を実行するためのプログラムコードを有し、コンピュータシステムで実行されるコンピュータプログラム製品が提供される。コンピュータプログラム製品は、例えば、半導体メモリ、ハードドライブ、CD−ROM、又は、DVD−ROMのような、コンピュータで読み取り可能な記録媒体に保存されてもよい。また、コンピュータプログラム製品は、インターネットのようなネットワークを通じてのダウンロード用に提供されてもよい。
さらなる態様によれば、マスクレイアウトの転写プロセスにおけるプロセスによる誤差を補正する装置が提供される。その装置は、遮光層上のレジストをビームによる描画によって露光するための強度プロファイルを、目標のマスクレイアウトとなるように設計し、強度プロファイルから、現像後の露光されたレジストの輪郭とプロファイルを設計し、レジストの輪郭とレジストのプロファイルから、エッチング後の遮光層の幾何学的形状を設計し、設計された幾何学的形状の目標のマスクレイアウトからの誤差に対して、誤差の補正を決定するように構成されるプロセッサシステムを有している。
その装置は、コンピュータシステムとして構成されてもよい。そのコンピュータシステムはさらに、プロセッサシステムに本明細書に記載された方法及び方法の態様の一つ以上を実行させる、コンピュータプログラム製品を備えたコンピュータで読み取り可能な記録媒体を有していてもよい。
また、上述の装置によって決定される補正を有効化するように構成されたビームによる描画装置を備えたマスク作製システムが提供される。そのマスク作製システムは、さらに、本明細書に記載される装置を含んでもよい。その装置は、有線又は無線通信接続を通してビームによる描画装置に連結されてもよく、又は、ビームによる描画装置の一つの構成部分であってもよい。
本発明のさらなる態様、詳細、及び利点が、以下の典型的な実施態様と図面の記述から明らかになる。
マスク作製システムの実施態様を示す模式図である。 マスクレイアウト転写プロセスの実施態様を示すフロー図である。 プロセスによる誤差を補正する方法の実施態様を示すフロー図である。 ビームによる描画の強度プロファイルとそこに適用される処理の実施態様を示す模式図である。 レジスト現像後の設計されたレジストの輪郭を示す模式図である。 異なるマスクレイアウトのフィーチャについて、横方向の現像の効果を強調表示した模式図である。 強度の曲率を決定する実施態様を示す模式図である。 エッチング速度の開口領域、すなわち、晒される、レジスト領域への依存関係を示す模式図である。 エッチング速度の開口領域、すなわち、晒される、レジスト領域への依存関係を示す別の模式図である。 側壁保護(ポリメリゼーション)、イオン衝撃、及び、レジストの腐食を伴うRIEプロセスを示す概略図である。 側壁保護(ポリメリゼーション)、イオン衝撃、及び、レジストの腐食を伴うRIEプロセスを示す別の概略図である。 (レジストの側壁の角度によって表される)レジストのプロファイルの関数として、横方向のエッチングバイアスを示す模式図である。 目標のマスクレイアウトの補正の実施を示す模式図である。 目標のマスクレイアウトの補正の実施を示す模式図である。 目標のマスクレイアウトの補正の実施を示す模式図である。 目標のマスクレイアウトの補正の実施を示す模式図である。
以下の典型的な実施態様の記述においては、ここに提示された技術の全体的な理解のために、特定のパターン転写プロセスのような具体的な詳細が、説明のためであって、限定のためではなく示される。当業者にとっては、ここに提示された技術が、これらの具体的な詳細からはずれた他の実施態様において、実行されてよいことが明白である。例えば、以下の実施態様は主に電子ビームによる描画に関して記載されるが、ここに提示される技術は、レーザビームによる描画についても実施可能であることが理解される。
さらに、当業者であればここに記載された、ある方法、機能、及び、手順が、プログラムされたマイクロプロセッサ、デジタルシグナルプロセッサ、又は、汎用コンピュータと共にソフトウエアを用いて実施されてもよいことを理解する。例えば、本発明は、一つ以上のプロセッサ及び一つ以上のメモリを備えるコンピュータシステムにロードされることができるコンピュータプログラム製品において実施されてもよく、その一つ以上のメモリは、コンピュータシステムの一つ以上のプロセッサが動作するときに、ここに開示されたある方法、機能、及び手順を実行する一つ以上のプログラムを格納するように構成されてもよい。
図1は、本発明によるマスク作製システム100の実施態様を示す模式図である。図1に示すように、マスク作製システム100は、(例えば、中央処理ユニット、CPUの形式で)少なくとも一つのプロセッサ112と、プロセッサ112上で動作するプログラムコードを(例えば、半導体メモリの形式で)格納するメモリ114を備えたコンピュータシステム110を有している。システム100は、さらに、電子ビームによる描画又はレーザビームによる描画のような、ビームによる描画装置120を有している。ある構成においては、コンピュータシステム100は、ビームによる描画装置120の中に制御装置として組み込まれてもよい。
パターン転写用のプロセス積層物130も図1に示される。プロセス積層物130は、レジスト134が被覆されたマスク基板132を有している。マスク基板132は、遮光層138に加えマスクブランクス136を含んでいる。光リソグラフィー用のマスクの作製については、マスクブランクス136は、クロムのような金属の遮光材料が遮光層138を構成するように被着された溶融シリカから成ってもよい。遮光層138に加えマスクブランクス136は、他の複数の代替材料から構成されることも可能であり、マスク基板132は必要に応じて、(例えば、EUVマスクの場合のように)付加的な層を有していてもよい。
ビームによる描画装置120の構成に依存して、レジスト134は、電子放射又はレーザ放射に感応とされる。レジスト134は、ポジ型レジスト又はネガ型レジストとすることができる。当該技術分野の様々な公知技術が、マスク基板132をレジスト134で被覆することに用いられてもよい。
位置空間を定義する座標系によって図1に示されるように、レジスト134は、マクス基板132上でxy平面内に延在する。さらに、レジスト134は、z方向に、ほとんど一定の高さ、すなわち、厚さを有している。z方向は、マスク基板132の横方向の伸長に垂直である。
コンピュータシステム110は、目標のマスクレイアウト140を表す入力データセットを処理するように構成される。目標のマスクレイアウト140は、コンユータシステム110へ任意のデータフォーマットで提供されてもよい。一つの変形態様において、目標のマスクレイアウト140は、ビームによる描画装置120によっても処理されることができるデータフォーマットで提供される。例えば、コンピュータシステム110とビームによる描画装置120は、マスクレイアウトデータから、xy平面内の複数の離散的な点の各々に対して、強度空間において、ビームによる描画の放射量を導くように構成されてもよい。
以下でより詳細に説明されるように、コンピュータシステム110は、目標のマスクレイアウト140(一方)と、パターン転写プロセス後の遮光層138の設計された幾何学的形状(他方)との間の誤差を評価するように、目標のマスクレイアウト140を処理するように構成される。コンピュータシステム110は、さらに、その誤差から、補正を決定するように構成される。この補正は、補正された目標のマスクレイアウト150と補正されたビームによる描画の放射量160の一方、又は、両方によって表されることができる。
図1に示されるように、(適切なデータセットの形式の)補正された目標のマスクレイアウト150と(適切なデータセットの形式の)補正されたビームによる描画の放射量160は、コンピュータシステム110によって、ビームによる描画装置120へ出力される。図1にも示されるように、(補正されていない)目標のマスクレイアウト140と共に、補正されたビームによる描画の放射量160だけが、ビームによる描画装置120へ入力されるシナリオ(方法)もある。他の実施態様においては、補正された目標のマスクレイアウト150だけが、ビームによる描画装置120へ送られてもよい。さらに他の実施態様においては、ビームによる描画装置120は、補正された目標のマスクレイアウト150に基づいて、補正されたビームによる描画の放射量160自体を決定するように構成されてもよい。
ビームによる描画装置120は、補正された目標のマスクレイアウト150と補正されたビームによる描画の放射量160の一方、又は、両方を考慮して、マスク基板132上のレジスト134を露光するように構成される。上述したように、電子ビーム又はレーザビームがこの目的で用いられてもよい。
図2は、図1のマスク作製システム100、又は、類似なシステムによって実行されるマスクレイアウト転写プロセスの方法の実施態様を示すフロー図200である。図2に示されるように、マスクレイアウト転写プロセス200は、コンピュータシステム110が目標のマスクレイアウト140を受け取ることで開始する。目標のマスクレイアウト140は、ネットワーク接続、(例えば、DVD−又はCD−ROMのような)データキャリア、又は他の方法を通してデータセットとして受け取られてもよい。
ステップ210において、コンピュータシステム110は、目標のマスクレイアウト140と、任意でさらに、図3を参照してより詳細に説明されるように、マスクレイアウト転写プロセスを設計し、プロセスによる誤差の補正を決定する入力データを処理する。上述したように、この補正は、補正された目標のマスクレイアウト150、補正されたビームによる描画の放射量160、又は、その両方のいずれかによって有効にされてもよい。
補正された目標のマスクレイアウト150及び補正されたビームによる描画の放射量160のいずれか一方又は両方に基づいて、マスク基板132上のレジスト134は、ステップ220において、ビームによる描画装置120によって露光される。ステップ220は、利用可能な入力データ140、150、160による制御のもとで、電子ビームによる描画、又は、レーザビームによる描画のいずれかを含んでよい。
次のステップ230において、露光されたレジスト134が現像される。現像は、当該技術分野の様々な公知の方法で行われることができ、一般的にはレジスト134のタイプに依存する。
現像に続いて、パターン転写ステップ240が、ステップ250におけるパターン形成されたリソグラフィーマスクを得るために実行される。パターン転写ステップは、一般的に、現像された、すなわち、パターンが形成されたレジスト134の複数の開口領域を通して、遮光層138をエッチングすることによって実現されることができる。パターン転写ステップ240は、湿式化学エッチング又はリアクティブイオンエッチング(RIE)に基づいてもよい。
以下では、図2のプロセスによる誤差の補正ステップ210が、図3を参照してより詳細に説明される。具体的には、図3はプロセスによる誤差の補正方法の実施態様の詳細を示すフロー300である。フロー図300の複数のステップは、コンピュータシステム110によって、(例えば、メモリ114に格納されたプログラムコードをプロセッサ112上で動作させることによって)すべて又は部分的に実行されてもよい。
図2のステップ220、230、及び240のマスクプロセスの特徴は、本発明の実施態様において、以下を通して、他のステップの間で設計される。
i)電子(e−固体)散乱とさらに他のプロセスパラメータを考慮するカーネル。そのカーネルは、当該技術分野でそれ自体は公知の技術に従って導かれる。このため、そのカーネルについては、ここでは詳述しない。
ii)位置空間において、x、y、及びz方向で3Dのレジストの幾何学的形状を予測するレジストモデル。
iii)3Dのレジストの幾何学的形状を考慮するエッチングモデル(本発明の実施態様においては、RIEモデル)。
最初のステップ310において、ビームによる描画の強度モデルが、マスク基板132上のレジスト134をビームによる描画によって露光するための強度プロファイルを、目標のマスクレイアウト140と入力データとしてのさらに他のパラメータに適合するように、設計(モデル化)することによって導かれる。その強度プロファイルは、強度空間を形成する3次元の強度座標系の中に提供されてもよい。
その強度プロファイルを得るためのカーネルによって、ステップ310で分析される複数のパラメータは、以下の一つ以上を含む。
− ビームぼけなどの、複数の露光パラメータ;
− 電子散乱及びかぶりなどの、ビームによる描画の複数の物理的効果;
− 点広がり関数(PSF)などの、複数のプロセスパラメータ
− 拡散のような複数の効果による像ぼけの記述;及び、
− エッチング作用物質の消費により、高度に相互作用のある複数の領域において局所的なエッチング速度の低下によるローディング。
ステップ310における強度プロファイルの設計は、一つ以上の上述のパラメータに加えて、xy平面内で目標のマスクレイアウトデータを処理する(図1を参照)。xy平面内の各々の座標点について、このようにして、強度値が導かれる。その強度値は、ビームによる描画装置120から局所的に生成されるビームによる描画の強度を示している。位置空間のxy平面は、強度空間のxy平面に一致してもよい。
図4は、y軸に平行で、x方向に限られた範囲(幅)を備えた、目標のマスクレイアウト140の中の一つの典型的なライン(線)又はラインの部分的なフィーチャについて導かれた(例えば、吸収される強度の関連において)強度プロファイル400の一例を示す模式図である。図4の強度プロファイル400は、x軸に沿って示され、所定の値に規格化されている。
次に、ステップ320において、現像後の露光されたレジスト134の輪郭とプロファイルが、ステップ310において設計された強度プロファイル400から設計される。このために、複数の像分析技術が強度プロファイル400に適用される。
3次元のレジスト座標系(図1参照)においては、ステップ320において導かれたレジストの輪郭は、マスク基板132の伸長方向に平行に延在する、xy平面内の現像されたレジスト134の設計された範囲によって近似され、又は、その範囲として表される。
レジストの輪郭は、例えば、レジスト端部(すなわち、レジスト134が現像後に存在している領域とレジスト134が現像によって除去された領域の境界)、又は、レジストの領域によって設計されてもよい。レジストの高さは、一般的に、マスク基板132に垂直に伸びるz方向において(すなわち、xy平面内のある距離の上方で)、徐々に増加するので、レジストの輪郭は理想化されたものである。
図4を参照して、レジストの輪郭は、強度空間内で与えられた強度プロファイル400に、強度閾値を適用することによって導かれる。閾値402での、又は、それより上の各々の強度値は、現像によって除去されていないレジストに対応するように設計され、一方、閾値402未満の各々の強度値は現像によって除去されたレジストに対応するように設計される。図4に示されるように、閾値402に等しい複数の強度値が(レジスト端部の関連において)レジストの輪郭404を形成するものと理解される。二つの向かい合うレジストの端部の間に関連付けられた(すなわち、レジスト輪郭404によって境界を画された)レジスト領域は、図4の参照番号406によって示される。
図5は、典型的な目標のマスクレイアウトに対して上述の閾値を設定する操作を行うことによって導かれる、又は、設計されるレジストの輪郭を、位置又は強度空間のxy平面内で示している。図5の白色の領域は、レジストが現像後に残っている部分を示しており、黒色の領域はレジスト134が現像によって除去された部分を示している。レジスト端部又はレジストの輪郭は、このように、黒色と白色の間の境界によって表される。図5は、レジストのプロファイルの設計(モデル化)の基礎となるレジストの輪郭上の典型的な点502も示している。
レジストのプロファイルは、xy平面内のある距離の上方に設計された、位置空間のz方向における現像されたレジスト134の範囲を示している。そのため、レジストのプロファイルは局所的な、又は、大域的なレジストの側壁の角度を示している、又は、その角度を設計するために用いられる。レジストのプロファイルは、特に、強度空間における強度プロファイル400から導かれた強度の勾配に基づいて、設計される。このため、複数の強度値がフィーチャの輪郭、すなわち、フィーチャ端部における点(例えば、図5の点502)で(I(Edge))、及び、オフセット値Δだけフィーチャ端部から離れた一つ以上の点(I(Edge+Δ))で、考慮されてもよい。強度の勾配は、これらの二つの強度値から導かれてもよい。
一例において、強度の勾配は、吸収される強度I(Edge)を用い、吸収される強度I(Edge+Δ)を引き算し、その差をΔで割り算することによって計算される。
強度の勾配I=(I(Edge)−I(Edge+Δ))/Δ
他の実施態様において、強度の勾配がその他の方法で(例えば、2を超える点を考慮することによって)導かれることができることが理解される。さらに、強度の勾配は大きなフィーチャが示す勾配に規格化されてもよい。従って、ビームによる描画の放射量を補正した小さな孤立フィーチャでは、1を超える規格化された勾配を有する。
norm
(I(Edge)−I(Edge+Δ))/Δ/Gradientlarge feature
現像中に、ラインの終端部のようなあるマスクフィーチャのより顕著な横方向の現像を生じる複数の2D効果が発生する。従って、レジストのプロファイル又は側壁の角度は、xy平面内のそのようなマスクフィーチャの特定の範囲の関数でもよい。
レジストのプロファイルの横方向現像依存性が、図6に典型例で示される。あるレジストプロセス(レジストコントラスト、厚さ、及び現像プロセス)について、それはレジストの輪郭とその近傍での、ある点の強度のイメージコントラストの関数である。横方向の現像は、乏しいコントラストについてはより強くなる。詳細には、ライン(図6A)に比べて、2方向からの現像により角部に対してより強く、角部に比べて、3方向からの現像により小さなレジストラインの終端部(図6B)に対してさらに強くなり、小さなコンタクトの反転図形(図6C)に対して、横方向の現像が3方向から進むので最も強くなる。このことは、側壁の角度又は側壁の急峻さに関連したレジストのプロファイルが、強度のイメージコントラストとxy平面内の2Dのレイアウトのシナリオ(すなわち、レジストの輪郭)に依存することを意味している。
強度のイメージコントラストから導かれる、xy平面内の強度のプロファイルの曲率(イメージ曲率とも呼ばれる)は、そのような複数の現像効果に対して、「指標」又は「予報値」として用いられることができる。xy平面内の強度の曲率は、一つの実施態様において、強度のイメージコントラストから、後者がxy平面内の強度の勾配として表されるときに、以下に示すように導かれる。
強度の曲率は、(例えば、図5の点502のような)着目点の近傍における強度空間のxy平面内の強度の勾配をコンピュータで計算することによって求められてもよい。より詳細には、強度の曲率は、強度の輪郭の法線ベクトルの発散(divergence)から計算されることができる(強度の輪郭は、図4に示されるようにレジストの輪郭を模する)。その法線ベクトルは、長さで割り算された強度の輪郭における強度の勾配に他ならない。換言すれば、
法線ベクトル=強度の勾配(強度の輪郭)/長さ(強度の勾配(強度の輪郭))
従って、
強度の曲率=発散(法線ベクトル)
図7では、以下に示す9点における吸収される強度値に基づいて、強度の勾配を計算する点として、強度空間のxy平面内の着目点(Point of interest)であるP11を示している。
11:着目点の強度
10:着目点のデルタ(delta)だけ左の強度
12:着目点のデルタだけ右の強度
01:着目点のデルタだけ下の強度
00:着目点のデルタだけ下で、かつ、デルタだけ左の強度
02:着目点のデルタだけ下で、かつ、デルタだけ右の強度
21:着目点のデルタだけ上の強度
20:着目点のデルタだけ上で、かつ、デルタだけ左の強度
22:着目点のデルタだけ上で、かつ、デルタだけ右の強度
上述の9点の吸収される強度に基づいて、xy平面内で回転された座標系における複数の局所的な法線ベクトルのx及びy成分が、以下の式に従って計算される。
norm1=(I22−I11)/sqrt((I22−I11)*(I22−I11)+((I12−I21)*(I12−I21)))
norm2=(I11−I00)/sqrt((I00−I11)*(I00−I11)+((I10−I01)*(I10−I01)))
norm3=(I20−I11)/sqrt((I20−I11)*(I20−I11)+((I10−I21)*(I10−I21)))
norm4=(I11−I02)/sqrt((I02−I11)*(I02−I11)+((I12−I01)*(I12−I01)))
そして、点P11でのxy平面内の強度の曲率が、以下の式に従って導かれることができる(Δは2つの隣接する斜めの点、例えば、P11とP00の間の距離である)。
11での強度の曲率=
(norm1−norm2)/Δ+(norm3−norm4)/Δ
強度の勾配と強度の曲率を計算するための上述の式は、単なる例示であることが理解されるだろう。換言すれば、強度の勾配と強度の曲率はレジストのプロファイルに関する同様の結論を導く他の方法でも計算されることができる。
一旦、(強度空間のxy平面内の強度の勾配と強度の曲率の関連において)レジストのプロファイルが、各々のレジストの輪郭に沿ったすべての点(例えば、図5の点502を参照)に対して計算されると、現像後の露光されたレジスト134の設計が終了される。生成されたレジストの輪郭とレジストのプロファイルのデータから、現像されたレジスト134の下にある遮光層138のエッチングプロセスがステップ330において設計される。
ステップ330は、RIEのエッチングモデルに基づいている。本発明の実施態様においては、RIEのエッチングモデルは、RIEエッチングに関係する様々な物理的及び化学的な効果を考慮している。複数の他のエッチング技術もまた、使用されることができることが理解されるだろう。
RIEエッチングは、i)側壁保護層(パッシベーション層とも呼ばれる)の堆積、ii)イオンの衝撃による側壁保護層の除去、及び、iii)保護層のない領域の化学的エッチング、の間の釣り合い(平衡)である。従って、総合的エッチングバイアスは、本発明の実施態様において、下式に示すように、(一定のエッチングバイアスと開口領域バイアスに加えて)側壁保護反応と側壁依存のエッチングバイアスを含む。
Biastotal=Biasconstant+Biasopen area
+Biassidewall−Biasprotection
他の複数の実施態様において、Biastotalのもととなる一つ以上のパラメータが追加され、削除され、又は、変更されてもよいことに注意が必要である。どの場合においても、Biassidewallは、ある実施態様において、エッチング反応を正確にモデル化(設計)するのに特に有用である。以下では、Biastotalを定義する様々なパラメータについてより詳細に議論される。
一定のバイアスBiasconstantは、例えば、レジストの膨潤、及び/又は、過剰/不足現像のような、線幅や密度に依存しない効果によるものである。それは定数として提供されてもよい。
Biasopen areaについて言えば、現像されたレジスト134の個々のフィーチャの底面での、イオンの量とその角度分布は、プラズマに晒される領域(すなわち、現像によるレジストの開口領域)に依存する。晒される領域が小さいほど、より少ない量で、より指向性のあるイオンであることを意味し、晒される領域が大きいほど、より多くのイオン量で、側壁保護層を除去するための、より多くの非垂直なイオンがあり、そのことがより大きな横方向のエッチングに繋がることを意味する。これらのプロセスが、より大きな晒される領域について図8Aに、より小さな晒される領域について図8Bに例示される。
Biasopen areaについての特別な値を特定のレイアウトのシナリオに関連付けるメカニズムは、図5に例示されたいわゆるビジビリティポリゴン(可視性多角形)504に基づいている。開口面積値とBiasopen areaの値をルックアップテーブルに基づいて関連付けるマッピングが行われてもよい。それに代わって、マッピングは、関数の関係によって、例えば、有限の幅のガウシアン(ガウス関数)で多角形504によって形成される開口領域を畳み込み積分(コンボリューション)することによって、記述されることができ、それにより、1次式又はより複雑な関数を通してBiasopen areaにマッピングが行われる。開口面積はレジストの輪郭に基づいて決定されてもよい。
Biassidewallは、基本的に、レジスト側壁と開口部表面上の非指向性のプラズマからのポリマー(CH化合物)の堆積によって形成される側壁保護層(プラズマCVD効果)に依存する。堆積量は、主には、CH化合物(表面上で一様)とイオンの衝撃によるレジストの腐食に由来するCH(非一様、近接する領域のレジストの量に依存する)を含むガスによって制御される、プラズマ中のガスの組成に依存する。これらのプロセスは、図9A及び図Bに例示されている。図9Aは急峻な側壁の場合を示し、一方、図9Bは傾斜のある側壁について示している。レジストの輪郭上の点でのポリメリゼーションの量は、その点の周囲のレジストの面積(晒されるレジスト面積)の関数になる。
側壁の保護層は、材料をエッチング可能とするために除去される必要がある。これは(指向性である)イオンによる衝撃によって可能にされる。イオンによる衝撃は、側壁保護層を除去するだけでなく、現像されたレジスト134を腐食もする。レジスト側壁でのレジストの腐食量は、急峻な側壁ではイオンの相対的な衝撃(入射)角度が浅くなり、その結果、スパッタ効果が乏しくなるので、側壁の角度、すなわち、レジストのプロファイルの関数である。図10は、横方向のエッチングバイアスのレジスト側壁の急峻さ依存性を示している。
図6に例示したシナリオと同様に、RIEによるパターン転写の間の側壁への作用も3D効果である。ライン端部では、より急峻なレジスト側壁と1方向のみからのイオン衝撃により、それはあまり大きくない。角部では、レジスト側壁があまり急峻ではなく、イオンの作用が2方向から来るので、それはやや大きくなる。ラインの終端(先端)では、レジスト側壁がさらに急峻ではなく、イオンの衝撃が3方向からあるので、それはより強くなる。そして、小さなコンタクトの反転図形については、レジスト側壁は最も急峻ではなく、イオンの衝撃は全ての方向から来るので、それは最も強くなる。
現像とエッチングプロセスの複雑さにより、3Dのレジストの幾何学的形状とRIEの間のパターン転写の完全な物理的モデル化は、一般的には、コンピュータによる計算に集約された問題である。全ての実施態様において、大規模にこれらの効果を補正(全体マスク補正)することは現実的ではない。このため、一つの実施態様においては、レジスト側壁角度についての、及び、それに続く、以下に示したエッチングバイアスについての「予報値」として、xy平面内の強度の勾配(図4及び図5参照)と強度の曲率(図7参照)を用いることによって、問題を簡単化したレジストの現像とリアクティブイオンエッチングを考慮した補正手順を提示する。
Biassidewall=f(強度の勾配、強度の曲率)
実際的なキャリブレーションについては、上式は1次元のテーブルに簡略化されることができる。実効曲率curvatureeffは、上述により計算される強度の曲率を用いて計算されることができ、以下に示すように強度の勾配によって調整される。
curvatureeff=g(強度の曲率、強度の勾配)=
(強度の曲率)*f(強度の勾配)
上式において、実効曲率curvatureeffは、このように、強度の勾配によって重み付けされた強度の曲率の関数である。一例において、この重み付けは、もし強度の曲率が負ならば、curvatureeffが強度の曲率に等しくなるように実行されてもよい。他方、強度の曲率が正の場合は、強度の曲率は強度の勾配によって重み付けされる。強度の勾配による重みは、強度の勾配が減少するにつれて増加してもよい。一例として、正の強度の曲率について、強度の曲率は強度の勾配の冪によって(例えば、強度の勾配の3乗によって)割り算されてもよい。
それにより、Biassidewallは、
Biassidewall=f(curvatureeff
になる。
一般的に、curvatureeffの値は、Biassidewallの値に対して(例えば、ルックアップテーブル又は関数の関係を通して)マッピングされてもよい。
最終的に、Biasprotectionは、側壁でのレジスト腐食量が保護層の堆積量に依存し、保護層の堆積量はさらに隣接する領域のレジスト量に依存するという事実をモデル化している。このことは、パラメータとして、フィーチャ端部の周りのレジストの面積を用いることによって、換言すれば、図5に記載された反転可視性多角形を用いて、考慮される。一例として、反転可視性多角形の面積がBiasprotectionの値に対して(例えば、ルックアップテーブル又は関数の関係を通して)マッピングされてもよい。
一旦、Biastotalがすべての関連する点(例えば、図5の点502を参照)について決定されたならば、遮光層138に関するエッチングプロセスが、完全な目標とするマスクレイアウトについて設計されることができる。Biastotalは、特定のプロセスパラメータの組み合わせ(例えば、エッチング時間及びRIEプロセス圧力)に対して与えられてもよいことは注意されるべきである。例えば、Biastotalの様々なプロセスパラメータに対しての依存性は、異なるマッピング(例えば、様々なルックアップテーブル又はBiastotalを入力する様々な被加数についての関数の関係)を通して設計されてもよい。
エッチングされた遮光層138の幾何学的形状が、ステップ330において決定された後で、その結果として生じた幾何学的形状が、ステップ340において、目標のマスクレイアウト140と比較される。様々な誤差が分析される。ある特定の誤差が所定の閾値を超えている場合は、その誤差を埋め合わせるための補正が決定される。既に説明したように、その補正により、補正された目標のマスクレイアウト150を作成することができる。それに代わって、又は、それに加えて、ビームによる描画の放射量160が補正されることができる。一例として、図4の強度のプロファイル400への修正が、ビームによる描画装置120への制御データとして、計算され、出力されてもよい。その結果として生じる補正は、複数のプロセスによる誤差を効果的に埋め合わせる。
図11は、補正された目標のマスクレイアウト150のもととなる、典型的な目標のマスクレイアウト140の補正を例示するものである。図11Aは、H形状のマスクフィーチャを備える典型的な目標のマスクレイアウト140を具象化したものである。図11Bは、Biastotalが、上述した、図11Aの目標のマスクレイアウト140に適用された後のエッチングされた遮光層138の幾何学的形状を示している。
図11Cは、目標のマスクレイアウト140からの、エッチング後の遮光レイアウト138の設計された幾何学的形状との間の誤差が決定された後での、補正された目標のマスクレイアウト150を示している。図11Bから明らかになるように、プロセス全体での誤差は、複数のマスクフィーチャの複数の端部を変位させることによって、補正される。
図11Cは、目標のマスクレイアウト140と補正された目標のマスクレイアウト150の重ね合わせを示している。さらに、図11Dは、現像及びエッチング後での補正を実施された、目標のマスクレイアウト150の露光結果を模式的に示している。図11Dから明らかになるように、複数のフィーチャの端部は「目標通り」であり、残っている誤差は内側及び外側の角部での丸め(ラウンディング)だけである。そのような丸めは一般的に認められることができる。
本発明に従って設計されることができるプロセスによる誤差は、以下の一つ以上を含む。
i)標準のぼけ(ビームぼけ、レジストぼけ、後方散乱、2次電子、かぶり)
ii)ぼけに依存するショットノイズ(典型的な成形ビーム効果−焦点面とそれに依存するぼけ)
iii)後方散乱補正のエミュレーション
iv)現像でのローディング
v)RIEでのマイクロローディング(密度依存性/晒される面積に依存するエッチング速度)
vi)パターン転写の際の3Dのレジストのプロファイル効果
ここに開示された技術(又はその部分)は、特に、電子ビームによる描画、又は、レーザビームによる描画に適用されることができる。
本発明について、典型的な実施態様を参照して説明した。本発明は、ここに開示された実施態様からはずれた他の実施態様においても実施されることが理解される。このため、本発明はここに添付された特許請求の範囲によってのみ定められる。

Claims (14)

  1. マスクレイアウトの転写プロセス(200)におけるプロセスによる誤差を補正する方法(210)であって、
    遮光層(138)上のレジスト(134)をビームによる描画によって露光する(220)ための強度プロファイル(400)を、目標のマスクレイアウト(140)となるように設計する工程(310)と、
    前記強度プロファイル(400)から、現像(230)後の前記露光されたレジスト(134)の輪郭とプロファイルを設計する工程(320)と、
    前記レジストの輪郭と前記レジストのプロファイルから、エッチング(240)後の前記遮光層(138)の幾何学的形状を設計する工程(330)と、
    前記設計された幾何学的形状の前記目標のマスクレイアウト(140)からの誤差に対して、該誤差の補正(150、160)を決定する工程(340)とを有し、
    前記レジストのプロファイルは、強度の勾配及び強度の曲率の少なくとも一つに基づいて前記レジストのプロファイルを近似して求めることによって、前記強度プロファイル(400)から設計されることを特徴とする方法。
  2. 前記レジストの輪郭は、前記強度プロファイル(400)に強度閾値(402)を適用することによって設計されることを特徴とする請求項1に記載の方法。
  3. 前記エッチング後の遮光層(138)の幾何学的形状を設計する工程は、
    前記レジストの輪郭上の、又は、該輪郭に近接する一つの点(502)を選択する工程と、
    前記選択された点(502)での、又は、該選択された点に近接する、前記レジストの輪郭と前記レジストのプロファイルを分析する工程と、
    前記分析の結果に依存して、前記選択された点(502)からのエッチングを設計する工程とを有することを特徴とする請求項1又は請求項に記載の方法。
  4. 前記レジストの輪郭は、前記選択された点(502)での、又は該選択された点に近接する前記レジストの輪郭の勾配と、前記選択された点(502)での、又は該選択された点に近接する前記レジストの輪郭の曲率と、前記選択された点(502)での、又は該選択された点に近接するレジスト領域と、前記選択された点(502)での、又は該選択された点に近接するレジストのない開口領域の少なくとも一つを決定するように分析されることを特徴とする請求項に記載の方法。
  5. 前記レジストのプロファイルは、前記選択された点(502)での、又は、該選択された点に近接するレジストの側壁の急峻さを決定するように分析されることを特徴とする請求項又は請求項に記載の方法。
  6. 前記レジストのプロファイルは、前記選択された点(502)での、又は、該選択された点に近接する前記強度プロファイル(400)から導かれる、強度の勾配と強度の曲率の一方又は両方を通して設計されることを特徴とする請求項から請求項のいずれか一項に記載の方法。
  7. 前記レジストのプロファイルを分析する工程は、前記強度の勾配と前記強度の曲率から、実効曲率を決定する工程を含み、前記選択された点からのエッチングは、前記実効曲率に基づいて設計されることを特徴とする請求項に記載の方法。
  8. 前記エッチングは、前記実効曲率から導かれるエッチング速度によって設計されることを特徴とする請求項に記載の方法。
  9. 前記エッチング速度は、所定の実効曲率に対してマッピングを行うことにより導かれることを特徴とする請求項に記載の方法。
  10. 前記方法は、さらに、前記目標のマスクレイアウトの補正を有効にする工程(340)を有することを特徴とする請求項1から請求項のいずれか一項に記載の方法。
  11. 前記方法は、さらに、ビームによる描画の放射量の補正を有効にする工程(340)を有することを特徴とする請求項1から請求項10のいずれか一項に記載の方法。
  12. 前記方法は、さらに、
    前記レジスト(134)が被覆された前記遮光層(138)を備えたマスク基板(132)を準備する工程と、
    ビームによる描画によって前記レジスト(134)を露光する工程(220)とを有し、
    前記ビームによる描画は、補正された目標のマスクレイアウト(150)と補正されたビームによる描画の放射量(160)の少なくとも一方を考慮することによって制御されることを特徴とする請求項10又は請求項11に記載の方法。
  13. 請求項1から請求項12のいずれか一項に記載の方法を実行するためのプログラムコードを有し、コンピュータシステム(110)で実行されるコンピュータプログラム製品。
  14. マスクレイアウトの転写プロセスにおけるプロセスによる誤差を補正する(210)装置(110)であって、
    遮光層(138)上のレジスト(134)をビームによる描画によって露光する(220)ための強度プロファイル(400)を、目標のマスクレイアウト(140)となるように設計し(320)、
    前記強度プロファイル(400)から、現像(230)後の前記露光されたレジスト(134)の輪郭とプロファイルを設計し(330)、
    前記レジストの輪郭と前記レジストのプロファイルから、エッチング(240)後の前記遮光層(138)の幾何学的形状を設計し(330)、
    前記設計された幾何学的形状の前記目標のマスクレイアウト(140)からの誤差に対して、該誤差の補正(150、160)を決定する(340)ように構成され
    前記レジストのプロファイルは、強度の勾配及び強度の曲率の少なくとも一つに基づいて前記レジストのプロファイルを近似して求めることによって、前記強度プロファイル(400)から設計されるものであることを特徴とする装置。
JP2015148336A 2014-07-30 2015-07-28 マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差の補正 Active JP6539142B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14002648.5 2014-07-30
EP14002648.5A EP2980646B1 (en) 2014-07-30 2014-07-30 Process artefact compensation upon transfer of a mask layout onto a mask substrate

Publications (2)

Publication Number Publication Date
JP2016033656A JP2016033656A (ja) 2016-03-10
JP6539142B2 true JP6539142B2 (ja) 2019-07-03

Family

ID=51263180

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015148336A Active JP6539142B2 (ja) 2014-07-30 2015-07-28 マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差の補正

Country Status (3)

Country Link
US (1) US10409946B2 (ja)
EP (1) EP2980646B1 (ja)
JP (1) JP6539142B2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9646127B2 (en) * 2015-05-14 2017-05-09 Synopsys, Inc. 3D resist profile aware etch-bias model
US10409171B2 (en) 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
US10534257B2 (en) * 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
EP4055444A1 (en) * 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
CN112765893B (zh) * 2021-01-27 2023-04-25 广东省大湾区集成电路与系统应用研究院 基于遗传算法的掩模侧壁角控制方法、系统、设备及介质
WO2023144677A1 (en) * 2022-01-28 2023-08-03 D2S, Inc. Method and system for reticle enhancement technology

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348288B1 (en) 2000-04-17 2002-02-19 Taiwan Semiconductor Manufacturing Company Resolution enhancement method for deep quarter micron technology
DE10044257A1 (de) * 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
JP2003195478A (ja) * 2001-12-26 2003-07-09 Sony Corp フォトマスクの作製方法
JP2003287875A (ja) * 2002-01-24 2003-10-10 Hitachi Ltd マスクの製造方法および半導体集積回路装置の製造方法
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
JP5133087B2 (ja) * 2007-02-23 2013-01-30 株式会社ニューフレアテクノロジー 半導体装置の製造方法
KR101096145B1 (ko) * 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP5224853B2 (ja) 2008-02-29 2013-07-03 株式会社東芝 パターン予測方法、パターン補正方法、半導体装置の製造方法、及びプログラム
US8017286B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
JP2011197520A (ja) * 2010-03-23 2011-10-06 Toppan Printing Co Ltd フォトマスク製造方法
US8404403B2 (en) * 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8359562B2 (en) * 2011-01-11 2013-01-22 Infineon Technologies Ag System and method for semiconductor device fabrication using modeling
JP5606932B2 (ja) 2011-01-18 2014-10-15 ルネサスエレクトロニクス株式会社 マスクの製造方法ならびに光近接効果補正の補正方法および半導体装置の製造方法
JP5810701B2 (ja) * 2011-07-19 2015-11-11 株式会社ソシオネクスト 光近接効果補正方法、設計方法及び設計装置
NL2010162A (en) * 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
US9484186B2 (en) * 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
JP5674866B2 (ja) * 2013-06-26 2015-02-25 株式会社ニューフレアテクノロジー パターンデータ作成方法、マスク作成方法、半導体装置の製造方法、パターン作成方法及びプログラム
US11054750B2 (en) * 2013-10-01 2021-07-06 Asml Netherlands B.V. Profile aware source-mask optimization

Also Published As

Publication number Publication date
EP2980646B1 (en) 2020-09-02
US20160078166A1 (en) 2016-03-17
EP2980646A1 (en) 2016-02-03
US10409946B2 (en) 2019-09-10
JP2016033656A (ja) 2016-03-10

Similar Documents

Publication Publication Date Title
JP6539142B2 (ja) マスク基板上へマスクレイアウトを転写する際のプロセスによる誤差の補正
KR102154105B1 (ko) 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
KR100857959B1 (ko) 패턴 작성 방법 및 하전 입자빔 묘화 장치
JP4476975B2 (ja) 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
US10223494B2 (en) Semiconductor device manufacturing method and mask manufacturing method
KR20140078686A (ko) 광 리소그래피를 이용하여 제조되는 기판 상의 이미지의 최적화를 위한 방법 및 시스템
US9754068B2 (en) Method, computer readable storage medium and computer system for creating a layout of a photomask
US20120221982A1 (en) Method of forming layout of photomask
TWI595325B (zh) 用於使用帶電粒子束微影術之尺寸均勻性的方法及系統
KR20230036578A (ko) 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩
JP2000098584A (ja) マスクパタ―ン補正方法及びマスクパタ―ン補正プログラムを記録した記録媒体
US10401737B2 (en) Process dose and process bias determination for beam lithography
US8161422B2 (en) Fast and accurate method to simulate intermediate range flare effects
US7117475B2 (en) Method and system for utilizing an isofocal contour to perform optical and process corrections
TW202011107A (zh) 用於執行光學近接校正的方法及使用光學近接校正製造遮罩的方法
TW201308029A (zh) 用於使用可變形束微影形成非曼哈頓圖案的系統及方法
JP5416998B2 (ja) 荷電粒子ビーム描画方法および荷電粒子ビーム描画装置
US7260814B2 (en) OPC edge correction based on a smoothed mask design
JP6167663B2 (ja) 現像ローディング補正プログラム、計算機、描画システム、現像ローディング補正方法
US20210150114A1 (en) Simulation apparatus, simulation method, and storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190607

R150 Certificate of patent or registration of utility model

Ref document number: 6539142

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250