JP5640259B2 - 回路シミュレーション方法および回路シミュレーション装置 - Google Patents

回路シミュレーション方法および回路シミュレーション装置 Download PDF

Info

Publication number
JP5640259B2
JP5640259B2 JP2010132105A JP2010132105A JP5640259B2 JP 5640259 B2 JP5640259 B2 JP 5640259B2 JP 2010132105 A JP2010132105 A JP 2010132105A JP 2010132105 A JP2010132105 A JP 2010132105A JP 5640259 B2 JP5640259 B2 JP 5640259B2
Authority
JP
Japan
Prior art keywords
circuit
information
voltage
analysis
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010132105A
Other languages
English (en)
Other versions
JP2011257998A (ja
Inventor
玄一 田中
玄一 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010132105A priority Critical patent/JP5640259B2/ja
Priority to US13/116,612 priority patent/US8718999B2/en
Publication of JP2011257998A publication Critical patent/JP2011257998A/ja
Application granted granted Critical
Publication of JP5640259B2 publication Critical patent/JP5640259B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

本発明は、半導体集積回路のモデルに対する信頼性試験のための回路シミュレーションに関する。
従来、より信頼性の高い半導体集積回路装置を出荷するために、半導体集積回路装置に対し、製品出荷前に各種の信頼性試験が行なわれている。
プロセスの微細化に伴い、クロストークや、エレクトロマイグレーション、ホットキャリア劣化、電源電圧降下(IRドロップ)などの物理現象の影響が顕著化し、半導体集積回路装置の動作に影響を及ぼす結果となっている。これは、回路中の電源を供給する電源配線の抵抗によって生じる現象であり、複数の回路の同時スイッチングによっても影響を受ける。電圧降下が大きいと回路の動作速度が低下し、回路が誤動作する原因になるため、半導体集積回路装置全体(チップ全体)での電圧降下解析が提案されている(特許文献1)。
特開2006−277557号公報
トランジスタレベルでの回路シミュレーションとしては、SPICE(Simulation Program Integrated Circuit Emphasis)シミュレーションが知られている。
しかしながら、一般的に近年の集積回路の素子数の増加に伴って、トランジスタレベルの回路シミュレーションにおいては、演算の処理量が膨大になり、半導体集積回路装置全体(チップ全体)でSPICEのシミュレーションを実行することは相当の時間を要し現実的ではない。よって、SPISEシミュレーションは、チップの一部分に限って実行されるシミュレーションとして利用される。
このSPICEシミュレーションは、部分的な回路シミュレーションであるため、入力される電源電圧については理想電源モデルを用いたシミュレーションが実行されていた。
しかしながら、実際の電源電圧で生じる電圧降下等は、他の周辺回路の動作との関係に基づいて生じるものであり、理想電源モデルでは、精度の高い回路シミュレーションを実行することができなかった。
本発明は、上記のような問題を解決するためになされたものであって、精度の高い回路シミュレーションを実行する回路シミュレーション方法および回路シミュレーション装置を提供することを目的とする。
本発明の一実施例に従う回路シミュレーション方法は、トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション方法であって、半導体集積回路に対してゲートレベルに対する電圧変動解析を実行するステップと、電圧変動解析の解析結果を用いて半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行するステップとを備える。
本発明の一実施例によれば、ゲートレベルに対する電圧変動解析を実行するため、短時間での電圧変動解析シミュレーションが可能である。そして、この解析結果に基づきトランジスタレベルに対する信号解析を実行するので、精度の高い回路シミュレーションを実現できる。
本発明の実施の形態に従う半導体集積回路装置全体を説明する概略図である。 本発明の実施の形態に従う回路シミュレーション方法について説明する図である。 ステップS2においてゲートレベルでの電圧変動解析ステップを実行するシミュレータ部SM1を説明する図である。 電圧変動解析を実行する回路の具体例の一部を説明する図である。 シミュレータ部SM1において、電圧変動解析シミュレーションを実行した場合の概念図である。 ステップS6においてトランジスタレベルでの信号解析ステップを実行するシミュレータ部SM2を説明する図である。 信号解析を実行する回路の具体例を説明する図である。 シミュレータ部SM2において、信号解析シミュレーションを実行した場合の測定結果を示す図である。 本発明の実施の形態の変形例に従う電圧変動情報を説明する図である。
この発明の実施の形態を図面を、参照して詳しく説明する。なお、図中同一符号は同一または相当部分を示す。
図1は、本発明の実施の形態に従う半導体集積回路装置全体を説明する概略図である。
図1を参照して、本発明の実施の形態に従う半導体集積回路装置全体いわゆるチップTPには、複数の機能モジュールが搭載されている。
具体的には、チップTPには、CPU(Central Processing Unit)4と、A/D回路6と、D/A回路8と、SRAM(Static Random Access Memory)10,22と、DDR(Double Data Rate)I/F12と、PCIExpress14と、LOGIC回路16,18と、PLL(Phase Locked Loop)回路20と、IO(Input Output)領域2とが機能モジュールとして設けられている場合が示されている。
CPU4は、チップTP全体を制御する演算処理回路である。A/D回路6は、アナログ信号をデジタル信号に変換する回路である。D/A回路8は、デジタル信号をアナログ信号に変換する回路である。SRAM10,22は、フリップフロップ等の順序回路を用いてデータを記憶するメモリである。DDRI/F12は、外部に設けられたSDRAM(Synchronous Dynamic Random Access Memory)に対するインターフェース回路である。また、PCIExpress14は、高速シリアル転送インターフェースである。LOGIC回路16,18は、各種の論理回路が設けられている。PLL回路20は、クロック等の周波数信号を生成する回路である。IO領域2は、各部との入出力の配線がレイアウトされた領域である。
当該チップ構成は、一例であり、特に当該構成に限られるわけではなく、他の構成とすることも可能である。
本発明の実施の形態に従う回路シミュレーション方法を実行する回路シミュレーション装置は、例えば、上述のCPU、メモリおよび入出力装置を備えたコンピュータにソフトウェアが組み込まれて構成されるが、機能的には、図2に示す各ステップが実行される機能を有する各部を備えて構成される。
図2は、本発明の実施の形態に従う回路シミュレーション方法について説明する図である。
図2を参照して、まず、ゲートレベルでの電圧変動解析ステップを実行する(ステップS2)。本例においては、一例として、ゲートレベルでの電圧変動解析ステップは、チップTP全体に対して実行する。この解析によりチップ内の電源配線及び接地配線のそれぞれ複数の箇所についてそれぞれ電源電圧及び接地電圧の電圧変動が解析される。各箇所とは例えばインスタンス毎の電源配線および接地配線の箇所である。
そして、次に、電圧変動解析ステップに従う電源電圧(Vdd)および接地電圧(Vss)の電圧波形を取得するステップを実行する(ステップS4)。
次に、トランジスタレベルでの信号解析ステップを実行する(ステップS6)。トランジスタレベルでの信号解析ステップは、チップTP全体よりも範囲の狭い例えば、すべてではない、1つ又はそれ以上の機能モジュールに対して実行する。
そして、信号解析ステップに従う信号解析結果を取得するステップを実行する(ステップS8)。
図3は、ステップS2においてゲートレベルでの電圧変動解析ステップを実行するシミュレータ部SM1を説明する図である。
図3を参照して、シミュレータ部SM1に、インスタンス毎のネットリスト100と、トグル情報102と、消費電力及び遅延に関するライブラリ104と、インスタンスレイアウト情報106と、電源および接地電圧用の抵抗・容量のネットリスト108と、信号用の抵抗・容量のネットリスト110と、タイミング制約情報112と、DEFファイル114とが入力されて、電圧変動解析シミュレーションが実行される。
インスタンス毎のネットリスト100とは、各インスタンスの接続関係を示す情報であり、当該情報によりインスタンスの回路構成が把握される。一般的に、半導体集積回路装置は、複数のトランジスタ等で各種機能が構成される。各機能の最小単位をゲートとする。例えば、ゲートとしては、NAND回路、NOR回路、XOR回路、インバータ回路、FF(Flip Fop)回路が挙げられ、SRAM、PLL、アナログデジタル変換器及びデジタルアナログ変換器といったハードマクロも含む。そして、各種のゲート毎に番号を割り当てて、他と識別可能とされたゲートをインスタンスと称している。
トグル情報102とは、インスタンス等に入力する入力波形情報であり、信号電位がハイからロー、またはローからハイに遷移する信号のトグル率を示す情報も含む。
消費電力及び遅延に関するライブラリ104は、各種のゲート単位毎での消費電力及び遅延に関する情報テーブルが格納されており、ゲートの種類に応じて、消費電力及び遅延を算出することができる。
インスタンスレイアウト情報106は、各インスタンスのレイアウトに関する情報である。
電源・接地電圧用の抵抗・容量のネットリスト108は、電源電圧あるいは接地電圧と接続される電圧配線に係る抵抗および/または容量に関する情報である。
信号用の抵抗・容量のネットリスト110は、信号配線間の寄生容量および信号配線の抵抗等に関する情報である。
タイミング制約情報112は、各クロックの周波数、各ゲートのスイッチングのタイミング、また、どのクロックに制御されているかの情報等を含む。
DEFファイル114は、ゲートが作成される物理的な階層(レイヤー)情報等である。なお、上記情報に加えてさらに別の条件あるいは情報を付加してより詳細なシミュレーションを実行するようにしても良い。
以上のようにゲートレベルでの解析は、トランジスタの情報を抽象化したゲートを用いて解析する手法であり、ゲート内のトランジスタレベルをモデル化して当該ゲートの特性を予め解析し、ライブラリ化したもの(例えば消費電力及び遅延に関するライブラリ)を用いた解析といえる。
電圧変動情報116は、シミュレータ部SM1が行った電圧変動解析の結果である。
図4は、電圧変動解析を実行する回路の具体例の一部を説明する図である。
図4を参照して、当該回路を用いて上記情報について具体的に説明する。
ここでは、インバータ回路IVと、NAND回路NDと、フリップフロップ回路FFとが設けられている場合が示されている。
また、インバータ回路IVに入力信号Aが入力され、NAND回路NDに入力信号Bが入力され、フリップフロップ回路FFに入力信号Cが入力される。
インスタンス毎のネットリスト100は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFの各インスタンスに関する接続関係の情報を含む。
トグル情報102は、一例として、入力信号A,B,Cのタイミング等の入力波形情報を含む。
消費電力及び遅延に関するライブラリ104は、インバータ回路、NAND回路、フリップフロップ回路の各々の消費電力及び遅延に関する情報を含む。
インスタンスレイアウト情報106は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFのレイアウトに関する情報を含む。
電源・接地電圧用の抵抗・容量のネットリスト108は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFが接続される電源電圧Vddおよび接地電圧VSSと接続される電圧配線に係る抵抗および/または容量に関する情報を含む。
信号用の抵抗・容量のネットリスト110は、インバータ回路IVとNAND回路NDとの間の信号配線の抵抗・容量等に関する情報、およびNAND回路NDとフリップフロップ回路FFとの間の信号配線の抵抗・容量等に関する情報を含む。
タイミング制約情報112は、例えば、入力信号Cがクロックである場合には、クロックの周波数等の情報を含む。
DEFファイル114は、インバータ回路、NAND回路、フリップフロップ回路が作成される物理的な階層情報を含む。
当該情報がシミュレータ部SM1に入力されることにより、図4に示される回路に対する電圧変動解析シミュレーションを実行することが可能となる。
図5は、シミュレータ部SM1において、電圧変動解析シミュレーションを実行した場合の概念図である。
図5(A)を参照して、ここでは、シミュレータ部SM1において、チップTP全体における電圧変動解析シミュレーションを実行した場合が示されている。
図5(B)は、チップTP全体の一部領域を抽出した場合が示されている。本例においては、チップTP全体における図4におけるインバータ回路を抽出した場合が示されている。
当該抽出は一例であり、別のインスタンスでも良いし、さらに複数のインスタンスの組み合わせであっても良い。
図5(C)は、当該インスタンスと接続された電源電圧Vddの電圧変動を説明する図である。
図5(C)を参照して、ここでは、電源電圧Vddの変動が示されている。
具体的には、1.1V付近にある電圧が電圧変動により1.0V付近に降下する場合等が示されている。
なお、ここでは、インバータ回路と接続された地点P(ポイント)における電源電圧Vddの変動波形についてのみ説明しているが、電源電圧Vddに限られず、インバータと接続された地点P#における接地電圧Vssの変動波形(例えば電源電圧とは逆に、0Vから上昇してバウンドする変動波形)についても同様に取得することが可能である。なお、ここでは、地点P,P#に限られず、図4に示される他の地点Q,Q#,R,R#についても同様に取得することが可能である。
図4において、チップTPの一部である3つのインスタンスを例示したが、シミュレータ部SM1により、チップTPの電圧すべてのインスタンスに対し、インスタンス毎の電源電圧及び接地電圧の電圧変動波形が取得される。
このように、インスタンス毎の電源電圧及び接地電圧の波形を得るために、トランジスタレベルではなくゲートレベルの回路シミュレーションを利用するため、電圧変動解析を短時間で行える。
また、電源電圧が予め定められた許容レベルよりもさらに降下したインスタンスの有無若しくは接地電圧が予め定めされた許可レベルよりもさらに上昇したインスタンスの有無をチェックすることができる。許容レベルを超えた電圧変動があった場合には、チップの回路及びそのレイアウトの修正を行う。
なお、ステップS4において電源電圧及び接地電圧の変動波形を取得するとは、例えば当該電源波形を利用可能な状態にコンピュータ上の記憶装置に保持する態様を含む。
図6は、ステップS6においてトランジスタレベルでの信号解析ステップを実行するシミュレータ部SM2を説明する図である。
図6を参照して、シミュレータ部SM2に、インスタンス毎のネットリスト202と、トグル情報204と、電圧変動情報206と、インスタンスレイアウト情報208と、電源および接地電圧用の抵抗・容量のネットリスト216と、信号用の抵抗・容量のネットリスト218と、DEFファイル220と、ゲート内のトランジスタのネットリスト210と、トランジスタのモデル情報212と、ゲート内部のレイアウト情報214とが入力されて、信号解析シミュレーションが実行される。信号解析シミュレーションは、ある特定の地点(ポイント)での信号の遅延量、ジッタ、ノイズ量を解析することが可能である。トランジスタレベルの解析とは、トランジスタを含む回路で構成されるネットとトランジスタモデルを用いて解析する手法といえる。
信号解析シミュレーションとして、本例においては、SPICE(Simulation Program Integrated Circuit Emphasis)シミュレーションを実行する場合について説明する。
インスタンス毎のネットリスト202は、上述したように、各インスタンスの接続関係を示す情報であり、インスタンスの回路構成が把握される。
トグル情報204とは、インスタンス等に入力する入力波形情報であり、信号電位がハイからロー、またはローからハイに遷移する信号のトグル率を示す情報も含む。
電圧変動情報206は、上記の図4でシミュレータ部SM1で取得した電圧変動解析シミュレーションに基づく、インスタンス毎の電源電圧及び接地電圧の電圧変動の波形情報である。なお、電圧変動情報206は、当該電圧変動情報であるシミュレータ部SM1での電圧変動解析シミュレーションの解析結果(図4の電圧変動情報116)のフォーマットを、SPICEであるシミュレータ部SM2で用いる際に、SPICE用のPWL(Piece Wise Linear)フォーマットに変換されたものとする。
インスタンスレイアウト情報208は、各インスタンスのレイアウトに関する情報である。
電源・接地電圧用の抵抗・容量のネットリスト216は、電源電圧あるいは接地電圧と接続される電圧配線に係る抵抗および/または容量に関する情報である。
信号用の抵抗・容量のネットリスト218は、信号配線間の寄生容量および信号配線の抵抗等に関する情報である。
ゲート内のトランジスタのネットリスト210は、例えば、インバータ回路を構成するトランジスタ等の接続関係を示す情報である。
トランジスタのモデル情報212は、トランジスタの型番、容量等、各トランジスタの特性パラメータに関する情報である。
ゲート内部のレイアウト情報214は、例えば、インバータ回路を構成するトランジスタ等のレイアウトに関する情報である。なお、上記情報に加えてさらに別の条件あるいは情報を付加してより詳細なシミュレーションを実行するようにしても良い。
図7は、信号解析を実行する回路の具体例を説明する図である。
図7を参照して、当該回路は、図4で説明した回路をトランジスタレベルで標記した場合が示されている。
ここでは、図4で説明したインバータ回路IVと、NAND回路NDと、フリップフロップ回路FFとをトランジスタで構成した場合が示されている。
また、インバータ回路IVに入力信号Aが入力され、NAND回路NDに入力信号Bが入力され、フリップフロップ回路FFに入力信号Cが入力される。なお、φ,/φは、フリップフロップ回路FFの内部信号である。
上記で説明したように、インスタンス毎のネットリスト202は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFの各インスタンスに関する接続関係の情報を含む。
また、トグル情報204は、一例として、入力信号A,B,Cのタイミング等の入力波形情報を含む。
また、インスタンスレイアウト情報208は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFのレイアウトに関する情報を含む。
また、電源・接地電圧用の抵抗・容量のネットリスト216は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFが接続される電源電圧Vddおよび接地電圧VSSと接続される電圧配線に係る抵抗および/または容量に関する情報を含む。
また、信号用の抵抗・容量のネットリスト218は、インバータ回路IVとNAND回路NDとの間の信号配線の抵抗・容量等に関する情報、およびNAND回路NDとフリップフロップ回路FFとの間の信号配線の抵抗・容量等に関する情報を含む。
また、ゲート内のトランジスタのネットリスト210は、インバータ回路を構成するトランジスタの接続関係を示す情報、NAND回路を構成するトランジスタの接続関係を示す情報、フリップフロップ回路を構成するトランジスタの接続関係を示す情報を含む。
トランジスタのモデル情報212は、例えば、NチャネルMOSトランジスタおよびPチャネルMOSトランジスタ等の特性パラメータ等に関する情報である。
ゲート内部のレイアウト情報214は、例えば、インバータ回路を構成するトランジスタ等のレイアウトに関する情報を含む。
電圧変動情報206は、上記の図4の回路でシミュレータ部SM1で取得した電圧変動解析シミュレーションに基づく電源電圧または接地電圧の波形情報であり、例えば、地点P,P#,Q,Q#,R,R#における電圧変動の波形情報を含む。
当該情報がシミュレータ部SM2に入力されることにより信号解析シミュレーションを実行することが可能となる。図7では、チップTPの一部である3つのインスタンスを例示したが、シミュレータ部SM2は、ステップS6の信号解析の対象となるチップの一部の領域(すべてではない一つ又はそれ以上の機能モジュール)に含まれるインスタンスに関する電圧変動の波形情報を電圧変動情報206から抽出し、この抽出した波形情報に基づき信号解析を行う。
なお、シミュレータ部SM2に、消費電力及び遅延に関するライブラリに関する情報が入力されないのは、ゲート内のトランジスタのネットリスト210、トランジスタのモデル情報212、ゲート内部のレイアウト情報214に基づいて、各ゲートに対する消費電力及び遅延に関する値を算出することが可能だからである。
また、タイミング制約情報が入力されないのも、上記の情報に基づいて、素子間の全ての接続情報、配線情報を把握することができるため、当該情報に基づいて、各ゲートのスイッチングのタイミング、また、どのクロックに制御されているか等についても算出可能だからである。
当該情報がシミュレータ部SM2に入力されることにより、図7に示される回路に対するトランジスタレベルでの信号解析シミュレーションを実行することが可能となる。
図8は、シミュレータ部SM2において、信号解析シミュレーションを実行した場合の測定結果を示す図である。
図8(A)を参照して、ここでは、シミュレータ部SM2において、理想電源モデルを用いた場合のある回路の出力信号の周波数スペクトルが示されている。
図8(B)を参照して、ここでは、シミュレータ部SM2において、本発明の実施の形態に従う電圧変動情報206を用いた場合のある回路の出力信号の周波数スペクトルが示されている。
図8(A)では、理想電源モデルを用いているためピーク部分以外の信号は減衰している波形が出力されているが、図8(B)に示されるように、理想電源モデルでは図8(A)ではあまり顕在化していない領域X,Yでのノイズを認識することが可能である。すなわち、本実施の形態に従う方式により、理想電源モデルを用いたシミュレーションでは検出されなかったノイズを検出することが可能となり、実際の電源モデルを用いたシミュレーションを実行して、精度の高いシミュレーション結果を取得することが可能となる。
図9は、本発明の実施の形態の変形例に従う電圧変動情報を説明する図である。
図9を参照して、ここでは、各インスタンスが電源電圧Vddと接続されている地点として、地点Pと、地点Sとが示されている。上記においては、電圧変動情報206として、インスタンスと接続されている地点Pと、地点Sとに対する電源電圧等の波形情報を与える場合について一例として説明したが、特にそれに限られず、他の地点、例えば、インスタンスと接続されている地点Pと地点Sとの間のノードN0〜N4等の地点における電圧変動の波形情報も与えるようにしても良い。当該情報を含めることにより係る地点に対する演算処理を省略することができるためシミュレータ部SM2における演算処理をより高速にすることが可能である。
なお、本例においては、ゲートレベルでの電圧変動解析ステップとして、チップTP全体で実行する場合について説明したが、チップTP全体に特に限られず、トランジスタレベルでの信号解析ステップを実行する領域を含むとともに、当該領域よりも広い領域で実行するようにしても良い。
また、ステップS2では電源電圧及び接地電圧の双方の電圧変動を解析し、ステップS6では電源電圧及び接地電圧の双方の電圧変動に基づき信号解析を行ったが、電源電圧及び接地電圧の一方に関して電圧変動解析を行い、その結果得られた電圧変動情報に基づき信号解析を行ってもよい。
またステップS4では、チップ内のすべてのインスタンスについての電圧変動波形をコンピュータ内の記憶装置に利用可能に保持したが、例えば、ステップS6の信号解析が行われる領域(機能モジュール)のインスタンスのみの電圧変動波形を利用可能に保持することもできる。
図3及び図6に示すそれぞれシミュレータ部SM1,SM2は別々のコンピュータシステムで実現されてもよいし、一つの統合されたコンピュータシステムで実現されてもよい。
また、コンピュータを機能させて、上述のフローで説明したような制御を実行さゲート方法あるいは当該方法を実現するプログラムを提供することもできる。このようなプログラムは、コンピュータに付属するフレキシブルディスク、CD−ROM(Compact Disk-Read Only Memory)、ROM(Read Only Memory)、RAM(Random Access Memory)およびメモリカードなどの一時的でないコンピュータ読取り可能な記録媒体にて記録させて、プログラム製品として提供することもできる。あるいは、コンピュータに内蔵するハードディスクなどの記録媒体にて記録させて、プログラムを提供することもできる。また、ネットワークを介したダウンロードによって、プログラムを提供することもできる。
なお、プログラムは、コンピュータのオペレーションシステム(OS)の一部として提供されるプログラムモジュールのうち、必要なモジュールを所定の配列で所定のタイミングで呼出して処理を実行さゲートものであってもよい。その場合、プログラム自体には上記モジュールが含まれずOSと協働して処理が実行される。このようなモジュールを含まないプログラムも、本発明にかかるプログラムに含まれ得る。
また、本発明にかかるプログラムは他のプログラムの一部に組込まれて提供されるものであってもよい。その場合にも、プログラム自体には上記他のプログラムに含まれるモジュールが含まれず、他のプログラムと協働して処理が実行される。このような他のプログラムに組込まれたプログラムも、本発明にかかるプログラムに含まれ得る。
提供されるプログラム製品は、ハードディスクなどのプログラム格納部にインストールされて実行される。なお、プログラム製品は、プログラム自体と、プログラムが記録された記録媒体とを含む。
今回開示された実施の形態はすべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
2 IO領域、4 CPU、6 A/D回路、8 D/A回路、10,22 SRAM、12 DDRI/F、14 PCIExpress、16,18 LOGIC回路、20 PLL回路、SM1,SM2 シミュレータ部、TP チップ。

Claims (2)

  1. コンピュータが、トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション方法であって、
    メモリに記憶された回路シミュレーションを実行する前記半導体集積回路を構成するための回路情報を取得するステップと、
    前記取得された回路情報に基づく前記半導体集積回路に対してゲートレベルに対する電圧変動解析を実行するステップと、
    前記電圧変動解析の解析結果を用いて前記半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行するステップと、
    前記信号解析の結果を出力装置に出力するステップとを備え、
    前記ゲートレベルに対する電圧変動解析を実行するステップは、前記半導体集積回路のチップ全体に対して、電源電圧の電圧降下および接地電圧の電圧上昇の少なくとも一方を解析するステップを含み、
    前記トランジスタレベルに対する信号解析を実行するステップは、前記電源電圧および接地電圧の少なくとも一方を解析した解析結果を電源入力情報として、前記半導体集積回路のチップを構成する複数のモジュールのうちの少なくとも1つのモジュールに対してトランジスタレベルに対する信号解析を実行するステップを含む、回路シミュレーション方法。
  2. トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション装置であって、
    回路シミュレーションを実行する前記半導体集積回路を構成するための回路情報が記憶されたメモリと、
    前記メモリから取得された回路情報に基づく前記半導体集積回路に対してゲートレベルに対する電圧変動解析を実行する電圧変動解析部と、
    前記電圧変動解析の解析結果を用いて前記半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行する信号解析部と、
    前記信号解析部からの信号解析の結果を出力する出力装置とを備え、
    前記電圧変動解析部は、前記半導体集積回路のチップ全体に対して、電源電圧の電圧降下および接地電圧の電圧上昇の少なくとも一方を解析し、前記信号解析部は、前記電源電圧および接地電圧の少なくとも一方を解析した解析結果を電源入力情報として、前記半導体集積回路のチップを構成する複数のモジュールのうちの少なくとも1つのモジュールに対してトランジスタレベルに対する信号解析を実行する、回路シミュレーション装置。
JP2010132105A 2010-06-09 2010-06-09 回路シミュレーション方法および回路シミュレーション装置 Expired - Fee Related JP5640259B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010132105A JP5640259B2 (ja) 2010-06-09 2010-06-09 回路シミュレーション方法および回路シミュレーション装置
US13/116,612 US8718999B2 (en) 2010-06-09 2011-05-26 Circuit simulation method and circuit simulation device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010132105A JP5640259B2 (ja) 2010-06-09 2010-06-09 回路シミュレーション方法および回路シミュレーション装置

Publications (2)

Publication Number Publication Date
JP2011257998A JP2011257998A (ja) 2011-12-22
JP5640259B2 true JP5640259B2 (ja) 2014-12-17

Family

ID=45096919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010132105A Expired - Fee Related JP5640259B2 (ja) 2010-06-09 2010-06-09 回路シミュレーション方法および回路シミュレーション装置

Country Status (2)

Country Link
US (1) US8718999B2 (ja)
JP (1) JP5640259B2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110688815A (zh) * 2019-09-12 2020-01-14 无锡江南计算技术研究所 一种基于访存码型的存储接口电路混合建模仿真方法
CN114692120B (zh) * 2020-12-30 2023-07-25 成都鼎桥通信技术有限公司 国密认证方法、虚拟机、终端设备、系统及存储介质

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5867399A (en) * 1990-04-06 1999-02-02 Lsi Logic Corporation System and method for creating and validating structural description of electronic system from higher-level and behavior-oriented description
JPH07110826A (ja) * 1993-10-14 1995-04-25 Hitachi Ltd ミックスモードシミュレーション方法
US5838947A (en) * 1996-04-02 1998-11-17 Synopsys, Inc. Modeling, characterization and simulation of integrated circuit power behavior
US5920489A (en) * 1996-05-03 1999-07-06 International Business Machines Corporation Method and system for modeling the behavior of a circuit
JPH10124563A (ja) * 1996-08-27 1998-05-15 Matsushita Electric Ind Co Ltd 論理回路の遅延計算方法、その遅延計算装置及び遅延ライブラリの遅延データ計算方法
US6112022A (en) * 1996-12-13 2000-08-29 Legend Design Technology, Inc. Method for simulating ULSI/VLSI circuit designs
JP3821612B2 (ja) * 1999-07-09 2006-09-13 松下電器産業株式会社 不要輻射解析方法
JP3943326B2 (ja) * 2000-11-27 2007-07-11 松下電器産業株式会社 不要輻射解析方法および不要輻射解析装置
JP2002222230A (ja) * 2000-11-27 2002-08-09 Matsushita Electric Ind Co Ltd 不要輻射最適化方法および不要輻射解析方法
JP2007243211A (ja) * 2000-11-27 2007-09-20 Matsushita Electric Ind Co Ltd 半導体装置
US7313510B2 (en) * 2003-06-02 2007-12-25 V-Cube Technology Corp. Methods for estimating power requirements of circuit designs
JP4313288B2 (ja) * 2004-11-19 2009-08-12 富士通株式会社 遅延特性評価のための回路シミュレーション方法、回路シミュレーションプログラム及び回路シミュレーション装置
US7882464B1 (en) * 2005-02-14 2011-02-01 Cadence Design Systems, Inc. Method and system for power distribution analysis
JP4528659B2 (ja) 2005-03-30 2010-08-18 パナソニック株式会社 クロックジッタ算出装置、クロックジッタ算出方法、およびクロックジッタ算出プログラム

Also Published As

Publication number Publication date
JP2011257998A (ja) 2011-12-22
US8718999B2 (en) 2014-05-06
US20110307234A1 (en) 2011-12-15

Similar Documents

Publication Publication Date Title
US9772676B2 (en) Adaptive voltage scaling based on stage transitions or ring oscillator revolutions
US9053257B2 (en) Voltage-aware signal path synchronization
JP2005004268A (ja) 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US10060955B2 (en) Calibrating power supply voltages using reference measurements from code loop executions
JP4540540B2 (ja) 遅延計算装置
US9892220B2 (en) Method and apparatus for adjusting a timing derate for static timing analysis
US9448872B2 (en) Hardware state data logger for silicon debug
US20150370955A1 (en) Method for adjusting a timing derate for static timing analysis
US10437946B1 (en) Using implemented core sources for simulation
US9355743B2 (en) Memory array test logic
US20140306746A1 (en) Dynamic clock skew control
US20150026532A1 (en) Method and apparatus for providing clock signals for a scan chain
JP5640259B2 (ja) 回路シミュレーション方法および回路シミュレーション装置
US10599798B1 (en) Double glitch capture mode power integrity analysis
Wu et al. Using a periodic square wave test signal to detect crosstalk faults
JP5119506B2 (ja) 半導体集積回路の設計装置、そのデータ処理方法、およびその制御プログラム
US8350620B2 (en) Integrated circuit power consumption calculating apparatus and processing method
US9710580B2 (en) Timing analysis method for digital circuit design and system thereof
Huang et al. Nonintrusive on-line transition-time binning and timing failure threat detection for die-to-die interconnects
US9891271B2 (en) Techniques and circuits for testing a virtual power supply at an integrated circuit device
JP2011151100A (ja) クロックジッタ抑制方法及びプログラム
Sadi et al. An all digital distributed sensor network based framework for continuous noise monitoring and timing failure analysis in SoCs
Rachit et al. AutoLibGen: An open source tool for standard cell library characterization at 65nm technology
Verma et al. Signal Rate Activity as a Formal Method for Fault Discovery and Monitoring in Trusted and Secure Electronics Development
Sowmya et al. Characterization and hierarchical static timing analysis of mixed-signal design

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130321

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141007

R150 Certificate of patent or registration of utility model

Ref document number: 5640259

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees