JP5392972B2 - 透明基板のための統合計測チャンバ - Google Patents

透明基板のための統合計測チャンバ Download PDF

Info

Publication number
JP5392972B2
JP5392972B2 JP2006002870A JP2006002870A JP5392972B2 JP 5392972 B2 JP5392972 B2 JP 5392972B2 JP 2006002870 A JP2006002870 A JP 2006002870A JP 2006002870 A JP2006002870 A JP 2006002870A JP 5392972 B2 JP5392972 B2 JP 5392972B2
Authority
JP
Japan
Prior art keywords
substrate
etching
measurement
instrument
measuring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006002870A
Other languages
English (en)
Other versions
JP2006194878A (ja
JP2006194878A5 (ja
Inventor
レウィントン リチャード
コラード コリー
アンダーソン スコット
ヌグイエン ヒエム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006194878A publication Critical patent/JP2006194878A/ja
Publication of JP2006194878A5 publication Critical patent/JP2006194878A5/ja
Application granted granted Critical
Publication of JP5392972B2 publication Critical patent/JP5392972B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B43WRITING OR DRAWING IMPLEMENTS; BUREAU ACCESSORIES
    • B43KIMPLEMENTS FOR WRITING OR DRAWING
    • B43K29/00Combinations of writing implements with other articles
    • AHUMAN NECESSITIES
    • A63SPORTS; GAMES; AMUSEMENTS
    • A63HTOYS, e.g. TOPS, DOLLS, HOOPS OR BUILDING BLOCKS
    • A63H29/00Drive mechanisms for toys in general
    • A63H29/24Details or accessories for drive mechanisms, e.g. means for winding-up or starting toy engines
    • AHUMAN NECESSITIES
    • A63SPORTS; GAMES; AMUSEMENTS
    • A63HTOYS, e.g. TOPS, DOLLS, HOOPS OR BUILDING BLOCKS
    • A63H3/00Dolls
    • A63H3/18Jumping jacks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Robotics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

発明の背景
発明の分野
[0001]本発明は、集積回路の製造に有用なフォトマスクの製作に関する。
関連技術の背景
[0002]フォトリソグラフィ技術は、基板表面上に堆積させた光パターンとフォトレジスト材料を使用して、エッチング処理前に、基板表面上に精密なパターンを生み出す。従来のフォトリソグラフィ処理では、エッチングされた層の上にフォトレジストが付加され、この層にエッチングされる接触部、ビア、相互接続部のような特徴部が、所望の特徴形状に関連したフォトリソグラフィフォトマスクを通る光のパターンにフォトレジストを露出させることで画成される。フォトレジストの組成を変えるために、例えば紫外線(UV)光を発光する光源を使用してフォトレジストを露出することができる。一般に、露出したフォトレジスト材料を化学処理によって除去し、下にある基板材料を露出させる。次に、露出した、下にある基板材料がエッチングされ、基板表面に特徴部が形成される一方で、保有されたフォトレジスト材料は、露出していない、下にある基板材料のための保護コーティングとして残される。フォトマスクは、装置パターンを作成するために繰り返し使用されるため、フォトマスク製造の品質制御が非常に重要である。
[0003]フォトリソグラフィフォトマスク、またはレティクルは、sub0.13μm技術に使用できる、バイナリ(または従来の)フォトマスクおよび位相シフトマスク(PSM)を含む。典型的に、バイナリ(または従来の)マスクは、光学的に透明なシリコンベースの材料、例えば石英(すなわち二酸化ケイ素、SiO)で作られ、クロムのような金属の不透明な遮光層を基板の表面上に有する基板を含む。位相シフトは、位相シフトによる軽い画像の解像を向上させる。位相シフトマスクの原理は、Plummer, Deal and Griffin, “Silicon VLSI Technology Fundamentals, Practice and Modeling”,2000 Prentice Hall, Inc.、230〜234頁に説明されている。位相シフトマスクは、減衰した位相シフトまたは交互位相シフトマスクのいずれであってもよい。典型的に、減衰した位相シフトマスクは、ケイ化モリブデン(MoSi)またはケイ化モリブデン酸化窒素(MoSiON)のような材料の半透明な層を頂部に有する、石英のような光学的に透明なシリコンベースの材料で作られる基板を含む。例えば248nm波長のフォトリソグラフィ光が、半透明な層で被覆されたパターン化されたマスク表面を照射する場合に、半透明な層の透過性(例えば248nm波長にて6%)と厚さが、半透明な層で被覆されていないパターン化されたマスク表面を介して照射されるフォトリソグラフィ光と比較して、例えば180°の位相シフトを作成する。交互位相シフトマスクは、典型的に、石英のような光学的に透明なシリコンベースの材料で作られる基板を含んでおり、これがある深度にまでエッチングされて、パターン化されたマスクを介してフォトリソグラフィ光が照射されるエッチングされていない透明基板と共に位相シフトを作成する。更に、石英と同様のパターンを設けたクロム層を有する。これ以外のタイプの位相シフトマスク、例えば、クロム層を除去したクロムレスマスクのリソグラフィ(CPL)マスクもある。
[0004]フォトマスクにより、精密なパターン内で光がフォトマスクを通過し、基板表面上へと到達することが可能になる。フォトマスク基板上の金属層は、基板へ移送される特徴部に対応してパターン化される。フォトマスク上のパターンは、ウェーハ基板上にパターン化されるパターンサイズの1X、2X、または4Xであってもよい。典型的に、フォトリソグラフィステッパーが、フォトマスクの画像を4×にまで縮小し、ウェーハ表面を被覆しているフォトレジスト上にパターンを印刷する。従来のフォトマスクは、まず、例えば石英のような光学的に透明なシリコンベースの材料を備える基板上に形成されているマスクのタイプに応じて不透明または半透明のいずれかであってもよい1〜2枚の薄い金属層を堆積させ、基板上にフォトレジスト層を堆積させることにより、製作される。次に、フォトレジストに臨界寸法を画成するために、従来のレーザまたは電子ビームパターン器材を使用して、フォトマスクがパターン化される。その後、一般に不透明な頂部金属層がエッチングされることで、パターン化されたフォトレジストにより保護されていない金属材料が除去され、これにより、下にあるシリコンベースの材料が露出する。バイナリマスクの場合は、金属エッチングステップ後にフォトマスクが形成される。減衰および交互位相シフトマスクの場合、フォトマスクを形成するために、透明基板または半透明金属層への追加のフォトレジストパターニングとエッチングが必要となる。
[0005]装置パターンを作成するためにフォトマスクが繰り返し使用されるため、臨界寸法の正確性および引張分布、位相シフト角度および基板全体にわたるその均一性は、バイナリおよび位相シフトフォトマスクにとって重要な必要性である。交互位相シフトマスクの場合、石英のような透明材料の深度によって位相角度が影響を受ける。位相シフトの精密制御は非常に重要であるため、石英のような透明材料のエッチングは、マスクの位相シフトを制御限度内に確実に収めるために、複数のエッチング処理と複数のエッチング深度測定後に達成されることが多い。エッチングシステムと統合されていないシステム内でエッチング深度測定が実行された場合には、処理サイクル時間が非常に長くなり、このアプローチにより総欠陥数が増加する。
[0006]したがって、半導体フォトマスク処理システム内のフォトマスクのエッチング深度(または位相シフト角度)を測定するために統合計測器具の当分野における必要性が依然として残る。
発明の概要
[0007]本発明の実施形態は、半導体フォトマスク処理システムにおける交互位相シフトフォトマスクについてエッチング間のエッチング深度を測定する方法および機器に関する。一実施形態では、エッチング処理システム内で基板のエッチ深度を測定する機器は、エッチング処理システムのメインフレームと結合した測定セルと、測定セルの底部と結合したエッチング深度測定器具であって、測定セルの底部における開口部により、エッチング深度測定器具と基板の間を光ビームが通過することが可能になるエッチング深度測定器具を備える。
[0008]別の実施形態では、エッチング処理システム内で基板のエッチング深度を側定する装置は、エッチング処理システムのメインフレームと結合した測定セルと、測定セルの底部と結合したエッチング深度測定器具であって、測定セルの底部における開口部により、エッチング深度測定器具と基板の間を光ビームが通過することが可能になるエッチング深度測定器具と、基板を測定セルへ移送するための、メインフレーム内に配置された基板移送ロボットであって、基板を保持するためのロボットブレードを有し、このロボットブレードが、光ビームが基板上を照射することを可能にするための開口部を有する基板移送ロボットを備える。
[0009]別の実施形態では、交互位相シフトマスクを準備する方法は、a)基板をエッチング処理チャンバ内に配置するステップであって、基板が光学的に透明な材料で作られており、第1のパターン化された不透明な層と、第2のパターン化されたフォトレジスト層とを光学的に透明な材料の上に有するステップと、b)石英を第1のエッチング深度までエッチングするステップと、c)基板を、基板移送チャンバと結合した測定セルへ移送するステップと、d)次のエッチングのエッチング時間を決定するために、測定セルの底部に結合したエッチング深度測定器具によって、基板裏側からエッチング深度を測定するステップと、e)基板を配置しエッチング処理チャンバに戻すステップと、f)エッチング深度測定によって決定されたエッチング時間だけエッチングするステップと、g)基板を測定セルへ移送するステップと、h)次のエッチングのエッチング時間を決定するために、測定セルの底部に結合したエッチング深度測定器具によって、基板裏側からエッチング深度を測定するステップと、i)目標のエッチング深度に達するまで“e”から“h”までを繰り返すステップと、を備える。
[0010]別の実施形態では、エッチング処理システム内で基板のエッチング深度を測定する機器は、エッチング処理システムのメインフレームと結合した測定セルと、測定セルの底部と結合したエッチング深度測定器具であって、測定セルの底部における開口部により、エッチング深度測定器具と基板の間を光ビームが通過することが可能になるエッチング深度測定器具と、測定セルの頂部と結合したCD測定器具であって、測定セルの頂部における開口部によって、光ビームがCD測定器具と基板の間を通過することが可能になるCD測定器具と、基板を測定セルへ移送するための、メインフレーム内に配置された基板移送ロボットであって、基板を保持するためのロボットブレードを有し、このロボットブレードが、光ビームが基板上を照射することを可能にするための開口部を有する基板移送ロボットと、を備える。
[0011]本発明の上記態様に到達し、詳細に理解できるようにするために、上記において簡略的に要約した本発明のより特定的な説明が、添付の図面に例示されているその実施形態を参照することで得られる。
[0012]しかしながら、添付の図面は、本発明の典型的な実施形態のみを例示するものであり、本発明はこれ以外の同等に効率的な実施形態を許容できるため、これをその範囲の限定と考慮すべきではないことに注意されたい。
詳細な説明
[0020]本明細書では、便宜性の理由から、主に交互の位相シフトマスクのエッチングを参照しながら本発明を説明する。本発明の概念は、異なるタイプのフォトマスクのエッチングに使用することができる。
[0021]図1A〜図1Fは、交互位相シフトマスクを作成する例証的な処理の流れを例示する。基板100が処理チャンバへ導入される。基板100(またはレティクル)は、例えば光学品質石英、フッ化カルシウム、アルミナ、サファイア、または典型的に光学品質石英材料で作られたこれらの組み合わせのような、光学的に透明な材料110から成るベース材料を備える。図1Aに示すように、クロムのような不透明(または遮光性)金属層120が光学的に透明な材料110上に堆積している。クロム層のような遮光材料層は、当分野において既知である従来の方法、例えば物理的気相堆積法(PVD)技術または化学気相堆積法(CVD)技術によって堆積させることができる。典型的に、遮光(または不透明)金属層120は、約50〜約150ナノメートル(nm)の厚さにまで堆積されるが、しかしながら、層の深度は製造業者からの要求、および基板または金属層の材料の組成に基づいて変更することができる。
[0022]図1Bを参照すると、次に、基板100が別の処理チャンバへと移送され、この処理チャンバにおいて、Du Pont de Nemours Chemical Companyによって製造された“RISTON”レジストのようなレジスト材料130の層が、不透明な金属層120に、約200〜600nmの厚さにまで堆積される。次に、不透明金属層120に形成する第2の開口部135の寸法を画成するために使用される第1の開口部125を形成するために、従来のレーザまたは電子ビームパターニング器材を用いて、レジスト材料130にパターンエッチングする。
[0023]次に、基板100が、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造された、図3で説明した(以降に説明する)Tetra II(商標)フォトマスクエッチングシステム内のTetra II(商標)フォトマスクエッチングチャンバのようなエッチングシステムへ移送される。本発明の態様を、Tetra II(商標)フォトマスクエッチングチャンバを含む、電気誘導的に結合したプラズマエッチングチャンバを参照しながら以降に説明する。しかしながら、これ以外にも、例えばそれぞれ設計の異なる静電容量的に結合した平行板チャンバ、磁気拡張したイオンエッチングチャンバ、電気誘導的に結合したプラズマエッチングチャンバのような処理チャンバを使用して本発明の処理を実行することも可能である。
[0024]遮光金属層120は、当分野において既知である金属エッチング技術を用いて、または図1Cに示すように、下にある透明材料110を露出するための第2の開口部135を形成するべく開発された新規の金属エッチング技術によってエッチングされる。
[0025]図1A〜図1Cを参照すると、遮光材料層120のエッチングが完了すると、基板100が処理チャンバへ移送され、通常、残りのレジスト材料130が基板100から除去される。レジスト除去は、酸素プラズマ処理、またはこれ以外の当分野において既知であるレジスト除去技術によって達成することができる。
[0026]図1D〜図1Fを参照すると、透明材料110をエッチングすることで、基板100が更に処理される。透明材料110のエッチングにおいて、レジスト材料130が除去され、第2のフォトレジスト140が付加され、パターン化されて、第2の開口部135下にある透明な材料110が露出する。レジスト材料が、約200nm〜600nmの厚さの間にまで堆積されるが、この厚さは任意であってもよく、更に、フォトマスクを形成するべく透明材料110内にエッチングする特徴部の深度と同じ厚さであってもよい。次に、レジスト層140および金属層120に第3の開口部145を形成するべく、フォトレジスト140がエッチングされる。次に、透明材料310をプラズマエッチングするために、パターン化された基板100が、図3(以降に説明する)で説明されたTetra II(商標)フォトマスクエッチングシステムのようなエッチングチャンバへ移送される。
[0027]透明材料110のエッチング深度175が位相シフト角度を決定するため、エッチング深度175の精密な制御が非常に重大となる。例えば、KrFエクシマーレーザリソグラフィのための交互の位相シフトマスクに180°の位相シフト角度を達成する場合、石英エッチング深度は約240Åである。過度のエッチングを防止するために、最初のエッチングは、目標のエッチング深度の50%〜75%といった、一部分のみをエッチングする。エッチングされた基板100のエッチング深度175(または位相シフト角度)が統合計測器具にて測定される。基板100は、目標のエッチング深度175に達するまで、追加のエッチングおよびエッチング深度測定を続けて受ける。統合計測器具においてエッチング深度測定を実行することにより、基板を同一の真空環境にないエリアへ移送する必要性が回避されるという利点を有する。基板を同一の真空環境にないエリアへ繰り返し移送することは、真空破壊により時間がかかってしまい、また、フォトマスクを作るにあたって非常に望ましくない粒子が生成される結果となる。
[0028]目標のエッチング深度175に達した後に、パターン化された基板表面155を形成するべく、第2のレジスト材料140が除去される。金属層120の除去後に、パターン化された基板表面165をもつ交互位相シフトマスクが形成される。エッチングチャンバ内におけるドライエッチングは、最終的なエッチング深度のある割合に達するべくエッチングするだけであり、最終的なステップは、ウェットエッチングは表面の粗さを低減し、フォトマスク基板上のマイクロトレンチングを減少させることができるウェットエッチングステップによって行うこともある。
[0029]クロムのような遮光層、石英のように光学的に透明な材料のための交互位相シフトフォトマスクエッチング処理はドライエッチング処理を含む。塩素含有ガス(例えばCl)またはフッ素含有ガス(例えばSFまたはCF)のようなエッチングガス、酸素のような酸化ガス、ヘリウムのような不活性ガスのプラズマを使用して、基板上に形成された材料層、または基板自体にエッチングすることができる。この用途において遮光層にエッチングするために使用するエッチング化学の詳細が、2003年4月18日付けで提出された、同一出願人による米国特許明細書出願番号第10/418、795号、タイトル“Process for Etching Photomasks”、2002年9月4日付けで提出された、米国特許明細書出願番号第10/235、223号、タイトル“Methods And Apparatus For Etching Metal Layers on Substrates”に開示されている。基板のシリコンベースの材料へのエッチングは、同一出願人による、2003年3月18日付けで発行された米国特許番号第6、534、417号、タイトル“Method and Apparatus For Etching Photomasks”、2002年5月21日付けで発行された米国特許番号第6、391、790号、同一タイトルの“Method and Apparatus For Etching Photomasks”に説明されている。これら全ての明細書の開示は、本発明の態様と矛盾することのない範囲で、本明細書に参照として組み込まれている。
[0030]本発明で採用しているエッチング深度計測技術は高度処理制御(APC)イネーブラである。エッチング深度計測技術は、広い波長範囲にかけての基板の反射を検出する。検出された波長スペクトルが理論モデルに適合されることで、膜の特性が使用可能となる。この計測は、基板の複数の場所における透明性、エッチング深度、膜の厚さ、位相シフト角度を測定するために使用できる。エッチング深度(または位相シフト角度)測定器具の一例は、カリフォルニア州サンタクララにあるn&k Technology, Inc.製のn&k Analyzer 1512RTが挙げられる。
[0031]本発明の例証的な実施形態は、図2に示すように、測定器具210、例えばエッチング深度(または位相シフト角度)測定器具を備えた処理システム200内のエッチング深度測定器具を使用して実現される。処理システム200は更に、本明細書に開示している分析を電子的に実行するプロセッサ220と、プロセッサ220の分析結果を表示するためのモニタ230とを備える。プロセッサ220は、半導体メモリのようなメモリ装置240、また、処理情報の保存に従来使用されている「製造実行システム」(MES)として既知であるコンピュータソフトウェアで実現されるデータベースシステム250と通信していてもよい。プロセッサ220は更に、測定器具210、エッチャー270と通信することもできる。
[0032]図3に、エッチング深度(または位相シフト角度)を測定する機能を備えるex−situ計測器具と統合したエッチングシステムの一例を示す。このシステム、Tetra II(商標)は、チャンバまたは「メインフレーム」301を備えるが、これらは、例えば、Tetra II(商標)フォトマスクリアクタ(またはチャンバ)302、「ロードロック」とも呼ばれる1つ以上の移送チャンバ303といった複数の処理チャンバを取り付けるための、カリフォルニア州サンタクララのアプライドマテリアルズ社より販売されているCentura(商標)処理システムであってもよい。本発明の一実施形態において、3つのエッチングリアクタ302および1つの計測機器306は、メインフレーム301に取り付けられている。メインフレーム301と計測器具306の間にこれらを流体連通させるための開口部(図示せず)が設けられているため、計測器具306をメインフレーム301と同一の真空下に配置することができる。例証的な一実施形態では、3つのエッチャー302を使用してエッチングを行う。メインフレーム301内に、処理リアクタ302、移送チャンバ303、統合計測器具306間でウェーハを移送するためのロボット304が設けられている。統合計測器具306は、エッチング深度(または位相シフト角度)を測定することができる。移送チャンバ303は、制御された環境を維持するための、「小型環境」としても知られる工場インターフェース305と接続している。本発明の一実施形態において、メインフレーム301に取り付けられている計測(または測定)器具306は、高速データ収集能力と分析能力を有する。工場インターフェース305の他端にはカセットホルダ308が接続している。内部305には、カセットホルダ(308)と「ロードロック」(303の間で基板を移送するためのロボット307が配置されている。
[0033]エッチング深度測定器具306は、エッチングチャンバ302からのエッチングされた基板の測定し、再度エッチングするべくエッチングチャンバ302へ送り戻すことを可能にするために、メインフレーム301に取り付けられている。エッチングおよび測定処理シーケンスは、目標のエッチング深度(または位相シフト角度)に達するまで、数回繰り返すことができる。透明材料110の目標のエッチング深度まで繰り返されるエッチングおよび測定の性質により、エッチング深度測定器具(または位相シフト角度測定器具)をメインフレーム301に取り付けることが望ましい。メインフレーム301と計測器具305は両方とも統合真空環境下にあるので、追加の基板移送と真空破壊のために時間がかかる、真空下にないエリアへの基板の移送の必要性を回避できる。真空下にある処理エリアと真空下にない処理エリアの間で基板を繰り返し移送することは、時間がかかるだけでなく、粒子を生成してしまう。
[0034]本発明の別の実施形態では、計測器具306は、複数の移送チャンバ303のうち1つの場所に配置される。複数の移送チャンバ303の1つの場所に計測器具306を配置することは、更に、真空下にないエリアへ基板を移送する必要性が回避されるという利点を有する。
[0035]基板は透明であるため、基板の裏面から反射された光を分析することにより、不透明膜320とフォトレジスト膜340を除去する必要なく、位相シフト角度(またはエッチ深度)を測定することができる。従来の位相シフト角度測定は基板前側より実行され、また、位相シフト角度測定の前に不透明膜312およびフォトレジスト膜340を除去する必要がある。追加の、膜を除去する処理ステップにより、フォトマスク準備にとって非常に望ましくない粒子または他の処理欠陥が生じ得る。これに加え、位相シフト角度(またはエッチ深度)が目標に達していないことがわかった場合には、不透明膜320とフォトレジスト膜340を再堆積し、再パターン化して、透明材料310の更なるエッチングを可能にする必要があるため、これにより、粒子および他の処理欠陥問題を悪化させることになりかねない。
[0036]図4は、基板400の裏面の下に配置したエッチング深度測定器具(または位相シフト角度測定器具)460の概略図を示す。基板400は、エッチング深度450と、更に不透明膜410およびフォトレジスト膜420を前側上に有する。基板400の裏面上には、入射光ビーム430、430、432、反射された光ビーム430’、431’、432’が存在する。入射光ビームの光源は測定器具460からであってもよい。光源は広帯域光源であることが好ましい。入射光ビーム430の一部分、反射された光ビーム430’が、基板400と環境470の間のインターフェースから反射される。反射された光ビーム431’が、環境470との基板エッチングインターフェース451間のインターフェースから反射される。反射された光ビーム432’は、不透明な層410と基板400の間のインターフェースから反射される。エッチング深度測定器具は、基板裏側表面の範囲にかけて反射された光ビームを集光する。例えば光ビーム431’と432’の間の位相シフトを計算することで、例えば基板400の前側上の膜、例えば不透明膜410、フォトレジスト膜420を除去することなく、透明基板のエッチング深度450と位相シフトを決定することができる。
[0037]本発明の一実施形態では、図3のメインフレーム301のロボット304の一部分であるロボットアーム500は、基板ホルダ501を含むよう設計されている。図5Aに示すように、基板ホルダ501は、基板裏側上の入射光ビームおよび反射された光ビームの通過を可能にするための開口部を有する。図5Aは、基板ホルダ501を有するロボットブレード510を収容するロボットアーム500の端部の概略図を示す。基板ホルダ501は、基板と比例するサイズのアパーチャ502を有する。一実施形態では、アパーチャ502は、6インチ(15.24cm)×6インチの基板について、約4インチ(10.16cm)×4インチである。基板の縁が基板ホルダによって支持されるようにするために、アパーチャ502のサイズは基板のサイズよりも小さい。一実施形態では、ロボットブレード510の厚さは約2/5インチ(1.02cm)である。アパーチャ502のサイズは、基板上の広いエリアにかけて測定データを収集できるよう可能な限り大きくなくてはならない。
[0038]図5Bは、基板520が図3の計測器具306内に配置されている状態を示す。計測器具306は、測定セル550とエッチング深度測定器具460を備える。基板はロボットアーム500から測定地点へと移動される。測定地点560の下には、エッチング深度測定器具460がある。エッチング深度測定器具460は、基板520の裏面へ光を発光する広帯域光源(図示せず)を備える。ロボットブレード510はロボットアーム500に装着されており、基板520の表面を、測定器具460から発光された測定光ビームに対して垂直にすることを可能にするための回転および傾斜機能を有する。エッチング深度測定器具460は、基板裏側から反射された光を集光する。測定器具460によってエッチング深度を計算するために、反射された光から生成されたデータが分析される。本発明の一実施形態では、ロボットブレード510上に、例えば一片のベアシリコンのようなエッチング深度測定キャリブレーション装置を収容するキャリブレーションパッド580がある。一実施形態では、キャリブレーションパッドのサイズは、直径が約1/2インチ(1.27cm)である。測定器具460をキャリブレートするために、キャリブレーションパッド580を測定地点560よりも上の位置に断続的に移動することができる。典型的に、ベアシリコン面上には天然酸化層が存在している。天然酸化層の存在は、いくつかの測定器具のキャリブレーションにとって重要である。ある実施形態では、測定地点560は、例えば直径約1インチ(2.54cm)の円形の開口部である。
[0039]裏面エッチング深度測定の利点は、この測定では前側膜の除去が不要であることである。したがって、まず基板を部分的にエッチングし、次に、次のエッチング量を目標とするべく基板を測定することができる。次に、フォトレジスト層剥離を実行するために基板を別のシステムへ移動する必要がなく、基板が複数回再エッチングおよび再測定される。代替の位相シフトマスクを作る場合、位相シフト角度(またはエッチング深度)の精密な制御が非常に重大である。基板は透明であり、位相シフト角度を裏面から測定できるため、また、基板エッチングの微細調整では基板をエッチングモジュールから除去する必要がないため、処理時間を大幅に低減することができる
[0040]測定セル306の底部に取り付けたエッチング深度測定器具460に加えて、一実施形態において、開口部595を介して臨界寸法(CD)測定データを収集するために、測定セル306の頂部上にCD測定器具59が取り付けられている(図5Cに示すとおり)。基板エッチング手法を調整するために、収集したCD測定データをエッチャーへと前方または後方供給することができる。CD測定の測定場所要求はエッチング深度測定のものよりも厳密であるため、メインフレーム301内のロボット304の一部分であるロボットアーム500は、要求されるほど十分な精密な制御を有する必要はない。CD測定器具590は、CD測定器具内の測定装置(図示せず)が基板520よりも上の特定の測定場所へ移動することを可能にするための移動装置(図示せず)を含んでいてもよい。移動装置の移動は、その精密な移動を制御するために、コントローラーによって制御される。図5Cは、頂部CD測定器具590と底部エッチング深度測定器具460を備えた計測セル306の概略図を示す。
[0041]CD測定器具590は、OCD(光学臨界寸法)計測技術を採用することができる。OCD計測技術は高度処理制御(APC)イネーブラである。例えば、普通の入射分光器的OCD計測システムは、インライン型の非破壊SEMでは不可能な詳細な線輪郭を提供する。フォトマスクの場合、OCD計測は、反射モード(反射された光を利用する)または透過モード(透過する光を利用する)下で動作できる。OCD技術の小型サイズおよび速度により、本発明の測定システムを、アプライドマテリアルズ社製のTera II(商標)、またはDPS(登録商標)IIエッチングシステムのような処理器具内部に完全に統合することが可能になる。APCソフトウェアと組み合わせた場合、これはウェーハ間閉鎖ループ制御に、完全なフォードフォワードソリューションを提供する。光学CD測定器具の一例には、カリフォルニア州ミルピタスにあるNanometrics社製のNano OCD 9000、または米国特許第5、963、329号に開示されている光学イメージャが挙げられる。光学CD測定器具は、光波散乱計測法、反射率測定法、透過偏光解析法技術を利用できる。
[0042]本発明の好ましい態様について前述したが、本発明の他の、および更なる態様は、本発明の基本範囲から逸脱しない限度内で考案され、また、この範囲は特許請求の範囲によって決定される。
交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 交互位相シフトフォトマスクを処理するためのエッチングシーケンスを示す断面図である。 統合エッチングシステムの主要構成要素のブロック図である。 統合エッチングシステムの一実施形態の図である。 基板と測定器具の間の、基板、測定器具、衝突および反射された光ビームを示す略線図である。 ロボットブレードを装備したロボットアームの端部の概略図を示す。 測定セルおよびエッチング深度計測器具の概略図を示す。 エッチング深度測定器具とCD測定機器の測定セルの概略図を示す。
符号の説明
100…基板、110…光学的に透明な材料、120…不透明な金属層、125…第1の開口部、130…レジスト材料、135…第2の開口部、140…フォトレジスト、145…第3の開口部、155…パターン化された基板表面、165…パターン化された基板表面、175…エッチング深度、200…処理システム、210…測定器具、220…プロセッサ、230…モニタ、240…メモリ装置、250…データベースシステム、270…エッチャー、301…メインフレーム、302…処理リアクタ、303…移送チャンバ、304…ロボット、305…工場インターフェース、306…統合計測器具、307…ロボット、308…カセットホルダ、400…基板、410…半透明膜、420…フォトレジスト膜、430…光ビーム、431…反射された光ビーム、432…反射された光ビーム、450…エッチング深度、451…基板エッチングインターフェース、460…測定器具、470…環境、500…ロボットアーム、501…基板ホルダ、502…アパーチャ、510…ロボットブレード、520…基板、550…測定セル、560…測定地点、580…キャリブレーションパッド、590…測定器具、595…開口部。

Claims (14)

  1. エッチング処理システム内で基板のエッチング深度を測定する機器であって、
    前記エッチング処理システムのメインフレームと結合した測定セルと、
    前記測定セルの底部と結合しており、基板のエッチング深度を基板の裏側から測定するエッチング深度測定器具であって、前記測定セルの底部における開口部により、前記エッチング深度測定器具と前記基板の間を光ビームが通過することが可能であるエッチング深度測定器具と、
    前記基板を前記測定セルへ移送するために前記メインフレーム内に配置した基板移送ロボットと、を備え、
    前記基板移送ロボットは、前記基板を保持するためのロボットブレードを有し、
    このロボットブレードは、前記測定セルにおける測定の間前記基板を保持しながら光ビームにより前記基板の裏側を照射することを可能にするための開口部を有する、機器。
  2. 前記ロボットブレードの前記開口部が円形又は四角形である、請求項1に記載の機器。
  3. 前記ロボットブレードが、前記エッチング深度測定器具のキャリブレーションに使用されるキャリブレーションパッドを備える、請求項1に記載の機器。
  4. 前記ロボットブレードが、前記基板の表面を、前記エッチング深度測定器具から発光された光ビームに対して垂直に位置決めするための回転および傾斜機能を有する、請求項1に記載の機器。
  5. エッチング処理システム内で基板のエッチング深度を測定するための機器であって、
    前記エッチング処理システムのメインフレームと結合し、該メインフレームと共に前記エッチング処理システムの真空側に位置する測定セルと、
    前記測定セルの底部と結合したエッチング深度測定器具と、
    前記基板を前記測定セルへ移送するための、前記メインフレーム内に配置された基板移送ロボットと、を備え、
    前記測定セルの底部における開口部により、前記エッチング深度測定器具と前記基板の間を光ビームが通過することが可能であり、
    前記基板移送ロボットは、前記基板を保持するためのロボットブレードを有し、
    このロボットブレードは、
    前記測定セルにおける測定の間前記基板を保持しながら光ビームにより前記基板の裏側を照射することを可能にするための開口部と、
    基板の表面を前記エッチング深度測定器具から発光された測定光ビームに対して垂直にすることを可能にするための回転および傾斜機能と、を有する、機器。
  6. 前記ロボットブレードの開口部が四角形である、請求項5に記載の機器。
  7. 前記ロボットブレードが、前記エッチング深度測定器具のキャリブレーションに使用するキャリブレーションパッドを備える、請求項5に記載の機器。
  8. 前記キャリブレーションパッドがベアシリコンを備える、請求項7に記載の機器。
  9. 前記ロボットブレードが、前記基板の表面を、前記エッチング深度測定器具から発光された光ビームに対して垂直に位置決めするための回転および傾斜機能を有する、請求項5に記載の機器。
  10. 前記メインフレームと結合したエッチングリアクタを更に備え、前記基板移送ロボットが、前記エッチングリアクタと前記測定セルとの間で基板を移送するように構成されている、請求項5に記載の機器。
  11. 前記エッチング深度測定器具が、光学的に透明な層を有する基板を検査するように構成されている、請求項5に記載の機器。
  12. 交互位相シフトマスクを準備する方法であって、
    a)基板をエッチング処理チャンバ内に配置するステップであって、前記基板が光学的に透明な材料で作られており、且つ、前記基板が、第1のパターン化された不透明な層と、第2のパターン化されたフォトレジスト層とを前記光学的に透明な材料の上に有するステップと、
    b)石英を第1のエッチング深度までエッチングするステップと、
    c)前記基板を、当該基板を保持するためのロボットブレードを有する基板移送ロボットにより、基板移送チャンバと結合した測定セルへ移送するステップと、
    d)前記測定セルの底部に結合したエッチング深度測定器具によって、前記基板の裏側からエッチング深度を測定し、次のエッチングのエッチング時間を決定するステップであって、当該エッチング深度は、前記測定セルにおける測定の間前記基板を保持しながら光ビームにより前記基板の裏側を照射することを可能にするための前記ロボットブレードの開口部を通過する光ビームを用いて測定されるステップと、
    e)前記基板をエッチング処理チャンバに戻すステップと、
    f)前記エッチング深度の測定によって決定されたエッチング時間だけエッチングするステップと、
    g)前記基板を前記測定セルへ移送するステップと、
    h)前記測定セルの底部に結合したエッチング深度測定器具によって、前記基板の裏側からエッチング深度を測定し、次のエッチングのエッチング時間を決定するステップと、
    i)目標のエッチング深度に達するまで“e”から“h”までを繰り返すステップと、を備える方法。
  13. 前記エッチング深度測定が、前記基板の裏側から反射された光ビームを集光することによって実行される、請求項12に記載の方法。
  14. エッチング処理システム内で基板のエッチング深度を測定する機器であって、
    前記エッチング処理システムのメインフレームと結合した測定セルと、
    前記測定セルの底部と結合したエッチング深度測定器具と、
    前記測定セルの頂部と結合したCD測定器具と、
    前記基板を前記測定セルへ移送するための、前記メインフレーム内に配置された基板移送ロボットと、を備え、
    前記測定セルの底部における開口部により、前記エッチング深度測定器具と前記基板の間を光ビームが通過することが可能であり、
    前記測定セルの頂部における開口部によって、光ビームが前記CD測定器具と前記基板の間を通過することが可能であり、
    前記基板移送ロボットは、前記基板を保持するためのロボットブレードを有し、
    このロボットブレードは、前記測定セルにおける測定の間前記基板を保持しながら光ビームにより前記基板の裏側を照射することを可能にするための開口部を有する、機器。
JP2006002870A 2005-01-08 2006-01-10 透明基板のための統合計測チャンバ Expired - Fee Related JP5392972B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/031,400 2005-01-08
US11/031,400 US20060154388A1 (en) 2005-01-08 2005-01-08 Integrated metrology chamber for transparent substrates

Publications (3)

Publication Number Publication Date
JP2006194878A JP2006194878A (ja) 2006-07-27
JP2006194878A5 JP2006194878A5 (ja) 2009-03-05
JP5392972B2 true JP5392972B2 (ja) 2014-01-22

Family

ID=36098810

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006002870A Expired - Fee Related JP5392972B2 (ja) 2005-01-08 2006-01-10 透明基板のための統合計測チャンバ

Country Status (5)

Country Link
US (2) US20060154388A1 (ja)
EP (1) EP1679548A1 (ja)
JP (1) JP5392972B2 (ja)
KR (1) KR101252068B1 (ja)
TW (1) TWI375288B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
CN100459087C (zh) * 2006-07-21 2009-02-04 中芯国际集成电路制造(上海)有限公司 确定半导体特征的方法和用于制造集成电路的方法
US8012857B2 (en) * 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8859396B2 (en) 2007-08-07 2014-10-14 Semiconductor Components Industries, Llc Semiconductor die singulation method
CN101802985A (zh) * 2007-09-14 2010-08-11 高通Mems科技公司 用于微机电系统生产的蚀刻工艺
US7765077B2 (en) * 2007-09-21 2010-07-27 Tokyo Electron Limited Method and apparatus for creating a Spacer-Optimization (S-O) library
CN103093766A (zh) 2007-12-06 2013-05-08 因特瓦克公司 用于构图介质的商业制造的系统和方法
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US7904273B2 (en) * 2009-02-16 2011-03-08 International Business Machines Corporation In-line depth measurement for thru silicon via
US8232115B2 (en) * 2009-09-25 2012-07-31 International Business Machines Corporation Test structure for determination of TSV depth
US8956809B2 (en) * 2012-08-03 2015-02-17 Applied Materials, Inc. Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US9484260B2 (en) 2012-11-07 2016-11-01 Semiconductor Components Industries, Llc Heated carrier substrate semiconductor die singulation method
US9136173B2 (en) 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US20150132959A1 (en) * 2013-11-08 2015-05-14 Leonard TEDESCHI Pattern formation and transfer directly on silicon based films
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
US9418894B2 (en) 2014-03-21 2016-08-16 Semiconductor Components Industries, Llc Electronic die singulation method
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
US10095102B2 (en) * 2016-04-12 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask having a plurality of shielding layers
US10366923B2 (en) 2016-06-02 2019-07-30 Semiconductor Components Industries, Llc Method of separating electronic devices having a back layer and apparatus
US10373869B2 (en) 2017-05-24 2019-08-06 Semiconductor Components Industries, Llc Method of separating a back layer on a substrate using exposure to reduced temperature and related apparatus
KR20210092834A (ko) * 2018-12-14 2021-07-26 도쿄엘렉트론가부시키가이샤 조명된 에칭 용액을 사용하여 재료의 거칠기를 감소시키기 위한 공정 시스템 및 플랫폼
US10818551B2 (en) 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
JP7365878B2 (ja) * 2019-12-06 2023-10-20 東京エレクトロン株式会社 計測装置及び計測方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) * 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) * 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JPH0492444A (ja) * 1990-08-08 1992-03-25 Hitachi Ltd 処理方法および装置
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5891352A (en) * 1993-09-16 1999-04-06 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
AU3651497A (en) * 1996-07-05 1998-02-02 Beckman Coulter, Inc. Automated sample processing system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) * 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6424733B2 (en) 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
US6183594B1 (en) * 1998-09-25 2001-02-06 International Business Machines Corporation Method and system for detecting the end-point in etching processes
JP3090139B1 (ja) * 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
WO2000058188A1 (en) 1999-03-25 2000-10-05 N & K Technology, Inc. Wafer handling robot having x-y stage for wafer handling and positioning
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
KR100702741B1 (ko) * 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
EP1079426A1 (en) 1999-08-16 2001-02-28 Applied Materials, Inc. Integration scheme using selfplanarized dielectric layer for shallow trench isolation (STI)
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
JP3974319B2 (ja) * 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
JP4942129B2 (ja) 2000-04-07 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド GaAsウエハ用のウエハ方向センサー
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6411389B1 (en) * 2000-05-03 2002-06-25 The Regents Of The University Of Claifornia Optical monitor for real time thickness change measurements via lateral-translation induced phase-stepping interferometry
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US20020147960A1 (en) 2001-01-26 2002-10-10 Applied Materials, Inc. Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US6653231B2 (en) * 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6525829B1 (en) * 2001-05-25 2003-02-25 Novellus Systems, Inc. Method and apparatus for in-situ measurement of thickness of copper oxide film using optical reflectivity
US6479309B1 (en) * 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030052084A1 (en) * 2001-09-18 2003-03-20 Tabery Cyrus E. In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4197103B2 (ja) 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6762130B2 (en) * 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements
US6825487B2 (en) * 2002-07-30 2004-11-30 Seh America, Inc. Method for isolation of wafer support-related crystal defects
JP4584531B2 (ja) 2002-08-02 2010-11-24 株式会社日立製作所 異物モニタリングシステム
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US20040200574A1 (en) 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
KR100568867B1 (ko) 2004-03-18 2006-04-10 삼성전자주식회사 웨이퍼 좌표감지장치 및 그 웨이퍼 좌표감지 기능을 갖는반도체 제조설비
US7076320B1 (en) 2004-05-04 2006-07-11 Advanced Micro Devices, Inc. Scatterometry monitor in cluster process tool environment for advanced process control (APC)
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates

Also Published As

Publication number Publication date
US20070012660A1 (en) 2007-01-18
JP2006194878A (ja) 2006-07-27
US7846848B2 (en) 2010-12-07
TW200633107A (en) 2006-09-16
US20060154388A1 (en) 2006-07-13
TWI375288B (en) 2012-10-21
KR101252068B1 (ko) 2013-04-15
EP1679548A1 (en) 2006-07-12
KR20060081365A (ko) 2006-07-12

Similar Documents

Publication Publication Date Title
JP5392972B2 (ja) 透明基板のための統合計測チャンバ
US9709905B2 (en) System and method for dark field inspection
US7042569B2 (en) Overlay alignment metrology using diffraction gratings
US7250309B2 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US8943443B1 (en) Verification of computer simulation of photolithographic process
US7732109B2 (en) Method and system for improving critical dimension uniformity
US10725387B2 (en) Determining an edge roughness parameter of a periodic structure
CN101055422B (zh) 用于透明基材的整合式测量室
CN110389500A (zh) 半导体装置的制造方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
CN113138528A (zh) 极紫外光罩与其制造方法
US6850858B1 (en) Method and apparatus for calibrating a metrology tool
JP2009086389A (ja) フォトマスクブランク及びフォトマスクの製造方法
US6872497B1 (en) Reflective mask for short wavelength lithography
JP2023122806A (ja) マスクブランク、転写用マスクの製造方法、及び表示装置の製造方法
TW201719277A (zh) 修復光罩的方法
US20240077804A1 (en) Photomask and methods for measuring and manufacturing the photomask
JP2731914B2 (ja) 検査用基板およびその製造方法
Levinson Publication guidelines for metrology and masks
KR100930383B1 (ko) 포토마스크의 패턴 선폭 측정 방법
JP2023070084A (ja) ブランクマスク及びそれを用いたフォトマスク
KR20220099005A (ko) 반도체 소자 제조 방법
KR20230127786A (ko) 반도체 소자 제조 방법
TW202414074A (zh) 用於對準度量衡裝置之照明偵測系統之方法及相關聯度量衡裝置
JP2023108276A (ja) マスクブランク、転写用マスク、転写用マスクの製造方法、及び表示装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090109

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090109

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100706

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110614

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110617

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110714

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110812

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110817

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120411

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120710

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120713

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130618

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130910

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131015

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees