CN110389500A - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN110389500A
CN110389500A CN201811258664.2A CN201811258664A CN110389500A CN 110389500 A CN110389500 A CN 110389500A CN 201811258664 A CN201811258664 A CN 201811258664A CN 110389500 A CN110389500 A CN 110389500A
Authority
CN
China
Prior art keywords
extreme ultraviolet
mentioned
mask
absorber layer
ultraviolet mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811258664.2A
Other languages
English (en)
Other versions
CN110389500B (zh
Inventor
许倍诚
苏益辰
蔡继光
连大成
王子奕
张宗裕
李信昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110389500A publication Critical patent/CN110389500A/zh
Application granted granted Critical
Publication of CN110389500B publication Critical patent/CN110389500B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching

Abstract

一种方法,包括:接收一工件,其包括具有一低热膨胀系数材料的一基底、在上述基底的上方的一多层反射层、在上述多层反射层的上方的一盖层与在上述盖层的上方的一吸收剂层。上述方法还包括:图形化上述吸收剂层以提供对应于一晶圆上的电路图形的多个第一沟槽;以及图形化上述吸收剂层、上述盖层与上述多层反射层,以提供对应于上述晶圆上的一晶粒边界区的多个第二沟槽,借此提供一极紫外线光刻掩模。上述方法还包括:使用不同化学元素对上述极紫外线光刻掩模进行表面改质处理,避免上述吸收剂层的曝露表面受到氧化。

Description

半导体装置的制造方法
技术领域
本发明实施例涉及半导体装置的制造系统与方法,特别涉及制造、使用及处理极紫外线光刻(extreme ultraviolet lithography;EUVL)掩模。
背景技术
半导体集成电路(integrated circuit;IC)工业已历经了快速的成长。在集成电路革命的过程中,通常是随着功能密度(例如:每单位芯片面积的互连的装置数量)的增加而缩减几何尺寸(例如:使用一工艺所能形成的最小构件(或是线))。这样的尺寸缩减的过程通常会通过增加制造效率与降低关连的成本而获得效益。这样的尺寸缩减亦会增加所加工及制造的集成电路的复杂度,而且为了实现这样的进步,需要在集成电路的制造方面有同样的发展。
例如,极紫外线(extreme ultraviolet lithography;EUV)光刻已用来支援小尺寸装置的关键尺寸(critical dimension;CD)需求。极紫外线光刻使用的扫描器是使用在极紫外线区域的辐射,其波长为约1-100nm。有些极紫外线扫描器提供4×缩小投影晒像(projection printing),与一些光学扫描器类似,除了极紫外线扫描器是使用反射光学系统而不是折射光学系统,例如使用反射镜而不是透镜。用于极紫外线光刻的掩模(亦称为极紫外线光刻掩模或EUVL掩模)面临了新的挑战。例如,极紫外线光刻掩模一般是包括一图形化的吸收剂层,上述图形化的吸收剂层是在一多层反射层的上方,其中上述图形化的吸收剂层提供用以使晶圆曝光的图形。上述图形化的吸收剂层在周遭环境中或在掩模清洁工艺的过程中,会变得容易受到氧化。这样的氧化会对使用此极紫外线光刻掩模曝光的晶圆上的关键尺寸,造成不良影响。因此,虽然现有的光刻方法通常已达堪用程度,但未在所有方面都令人满意。
发明内容
本发明实施例的一涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,上述基底具有一低热膨胀系数材料,上述多层反射层在上述基底的上方,上述盖层在上述多层反射层的上方,上述吸收剂层在上述盖层的上方。上述半导体装置的制造方法还包括:施以第一图形化,图形化上述吸收剂层以提供对应于一晶圆上的电路图形的多个第一沟槽;以及施以第二图形化,图形化上述吸收剂层、上述盖层与上述多层反射层,以提供对应于上述晶圆上的一晶粒(die)边界区的多个第二沟槽,借此提供一极紫外线光刻(extreme ultravioletlithography;EUVL)掩模。上述半导体装置的制造方法还包括:使用一或多个化学元素对上述极紫外线光刻掩模进行处理,以避免上述吸收剂层的曝露表面受到氧化。
另一个本发明实施例涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,上述基底具有一低热膨胀系数材料,上述多层反射层在上述基底的上方,上述盖层具有钌且在上述多层反射层的上方,上述吸收剂层具有钽且在上述盖层的上方。上述半导体装置的制造方法还包括:图形化上述吸收剂层、上述盖层与上述多层反射层,以提供对应于一晶圆上的电路图形的多个第一沟槽以及对应于上述晶圆上的一晶粒(die)边界区的多个第二沟槽,借此提供一极紫外线光刻(extreme ultraviolet lithography;EUVL)掩模。上述半导体装置的制造方法还包括:使用氮等离子体对上述极紫外线光刻掩模进行表面处理。
又另一个本发明实施例涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:使用一极紫外线光刻(extreme ultraviolet lithography;EUVL)掩模来使一或多个晶圆曝光;检查上述极紫外线光刻掩模的表面;在发现上述极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用氮等离子体对上述极紫外线光刻掩模进行处理;以及在使用上述氮等离子体对上述极紫外线光刻掩模进行处理之后,使用一清洁药剂来清洁上述极紫外线光刻掩模,上述清洁药剂包括过氧化氢、硫酸、氢氟酸或硫酸和过氧化氢混合物(sulfuric peroxide mixture)。
附图说明
根据以下的详细说明并配合说明书附图做完整公开。应注意的是,根据本产业的一般作业,图示并未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1A是一极紫外线(extreme ultraviolet;EUV)光刻曝光系统的图解,此极紫外线光刻曝光系统使用以本发明实施例的实施形态制作的一极紫外线光刻掩模。
图1B显示根据一实施例的一极紫外线光刻掩模的剖面图。
图2显示根据本发明实施例的各种实施方式的极紫外线光刻掩模的制造方法的流程图。
图3A显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3B显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3C显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3D显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3E显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3F显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3G显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3H显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图3I显示根据本发明实施例的各种实施方式而在制造阶段的一极紫外线光刻掩模的一实施例的剖面图。
图4显示根据本发明实施例的各种实施方式的极紫外线光刻掩模的使用、清洁及处理方法的流程图。
附图标记说明:
100 极紫外线光刻曝光系统
102 辐射源
104 辐射束
106 聚光器
108 极紫外线光刻掩模
110 掩模载台
112 投影光学
114 基底载台
116 基底
205 导体层
210 基底
220 多层反射层
230 盖层
240 电路图形区
250a 顶表面部
250b 侧壁表面部
250 吸收剂层
252、254 沟槽
260、270 光刻胶层
280 化学元素
300、400 方法
302、304、306、308、402、404、 操作
406、408、410、412
具体实施方式
要了解的是,以下的公开内容提供许多不同的实施例或范例以实施本发明实施例的不同特征。以下的公开内容叙述各个构件及其排列方式的特定实施例或范例,以简化本发明实施例的说明。当然,这些特定的范例并非用以限定。例如,元件的尺寸并非受限于所公开的范围或值,但可能依存于工艺条件及/或装置所需求的性质。此外,若是本发明实施例叙述了一第一特征形成于一第二特征之上或上方,即表示其可能包括上述第一特征与上述第二特征是直接接触的实施例,亦可能包括了有附加特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与第二特征可能未直接接触的实施例。为了简洁,可能以任意的比例示出各种特征。此外,本发明实施例可能会在各种实施例重复使用相同的元件符号。这样的重复是为了叙述上的简化与明确,而非意指所讨论的不同实施例及/或结构之间的关系。
此外,其与空间相关用词。例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,为了便于描述图示中一个元件或特征与另一个(些)元件或特征之间的关系。除了在附图中示出的方位外,这些空间相关用词意欲包括使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),则在此使用的空间相关词也可依此相同解释。
整体而言,本发明实施例涉及半导体装置的制造系统与方法,特别涉及制造、使用及处理极紫外线光刻(extreme ultraviolet lithography;EUVL)掩模。极紫外线光刻工艺已用来实现增加在集成电路中的功能性密度及减少在集成电路中的特征尺寸。在紫外线光刻工艺中,极紫外线光刻掩模是一重要元件。在极紫外线光刻掩模所参与的各种曝光及处理工艺的过程中,粒子可能会落在极紫外线光刻掩模的表面上。因此,极紫外线光刻掩模通常会历经各种清洁工艺,以确保其表面的洁净。在上述清洁工艺的过程中,例如一含钽吸收剂层等的极紫外线光刻掩模中的一些层可能容易被氧化。上述氧化会减少极紫外线光刻掩模中的沟槽的尺寸,因此而对使用此极紫外线光刻掩模映像的晶圆上的图形的关键尺寸造成不良影响。本发明实施例提供解决这些问题的半导体装置的制造方法。
图1A显示一极紫外线光刻曝光系统100,其因为本发明实施例的一或多个实施形态而获益。极紫外线光刻曝光系统100包括一辐射源102、聚光器(condenser optics)106、一极紫外线光刻掩模108、投影光学(projectionoptics)112以及一基底116,辐射源102产生一辐射束(radiation beam)104,极紫外线光刻掩模108在一掩模载台(mask stage)110上,基底116在一基底载台(substrate stage)114上。特别是,根据本发明实施例的多个实施方式,以一或多个化学元素来处理极紫外线光刻掩模108,以避免或减少其内的吸收剂层的氧化。可作其他配置及品项的纳入或省略。在本实施例中,极紫外线光刻曝光系统100可以是一步进器(stepper)或一扫描器。极紫外线光刻曝光系统100的元件会在后文进一步叙述。
辐射源102提供的辐射束104具有在极紫外线范围的波长,例如约1-100nm。在一实施例中,辐射束104的波长为约13.5nm。聚光器106包括一多层涂布的收集器(collector)及多个掠射镜(grazing mirrors)。聚光器106是设置来收集并引导辐射束104,并提供通往极紫外线光刻掩模108的辐射束104的狭缝。
极紫外线光刻掩模108又称为掩模(photomask)或图罩(reticle),其包括一或多个目标集成电路装置的图形。极紫外线光刻掩模108对辐射束104提供一图形化的空中影像(aerial image)。在本实施例中,极紫外线光刻掩模108是一反射式的掩模,将会在后文对图1B的叙述中详细叙述。特别是,以一或多种元素对极紫外线光刻掩模108的一些表面进行处理,其避免或延缓这些表面的氧化。这样会强化通过极紫外线光刻曝光系统100转移的图形的精确度,并增加极紫外线光刻掩模108的可再用性(reusability)。极紫外线光刻掩模108可结合例如相位移掩模(phase-shiftingmask;PSM)及/或光学近接修正(opticalproximity correction;OPC)等的分辨率强化技术。掩模载台110例如通过真空,保护其上的极紫外线光刻掩模108,并在极紫外线光刻曝光系统100中进行对准、对焦、水平校正(leveling)及曝光操作的过程中,提供极紫外线光刻掩模108的精确位置及精确移动。
投影光学112包括一或多个透镜及多个反射镜。上述透镜可具有小于一的倍率,借此缩小到达基底116的极紫外线光刻掩模108的图形化的空中影像。
基底116包括一半导体晶圆,此半导体晶圆具有一光刻胶(或阻剂)层,此光刻胶(或阻剂)层对于辐射束104敏感。基底116是被基底载台114所保护,基底载台114在极紫外线光刻曝光系统100中进行对准、对焦、水平校正及曝光操作的过程中,提供基底116的精确位置及精确移动,而以具有再现性的实施方式(可经由其他的光刻方法)将极紫外线光刻掩模108的图形化的空中影像曝光至基底116上。
在使基底116曝光于辐射束104之后,将基底116移至一显影剂,在此,上述光刻胶层的一些区域会被移除,取决于此区域是否被曝光于辐射束104,借此将图形由极紫外线光刻掩模108转移至基底116。在一些实施例中,一显影剂包括水系显影剂,例如氢氧化四甲铵(tetramethylammoniumhydroxide;TMAH),用于正型显影(positive tone development;PTD)。在其他实施例中,一显影剂可包括一有机溶剂或数种有机溶剂混合物,例如甲基戊基酮(methyl a-amyl ketone;MAK)或包括甲基戊基酮的一混合物,用于负型显影(negativetone development;NTD)。涂布显影剂包括例如通过一旋转涂布工艺,将显影剂喷洒在曝露的阻剂膜上。涂布显影剂亦包括使用一曝后烘烤(post exposure bake;PEB)工艺、一显影后烘烤(post developbake;PDB)工艺或上述的组合。将已显影或图形化的光刻胶层用于进一步对基底116进行加工,以形成目标的集成电路装置。例如,可以使用上述图形化的光刻胶层作为一蚀刻掩模,蚀刻基底116的一或多层。
请参考图1B,此处显示的是极紫外线光刻掩模108的一实施例的剖面图(局部),其根据本发明实施例的实施方式建构及处理。特别是,极紫外线光刻掩模108的一些表面被植入例如氮等的一或多种元素,其保护或延迟这些表面的氧化。极紫外线光刻掩模108包括一基底210、一多层反射层(multilayer;ML)220、一盖层230、一吸收剂层250与一导体层205,多层反射层220沉积在基底210的上方,盖层230沉积在多层反射层220的上方,吸收剂层250沉积在盖层230的上方,导体层205为了静电吸附(electrostatic chucking)的目的而在基底210的下方。在一实施例中,极紫外线光刻掩模108可还包括一保护层(未示出),上述保护层是沉积在吸收剂层250的上方。在极紫外线光刻掩模108中,可作其他配置及品项的纳入或省略。
在一实施例中,导体层205包括氮化铬(CrN)。在另一实施例中,导体层205包括一种钽的硼化物,例如TaB。基底210包括一低热膨胀系数材料(low thermal expansionmaterial;LTEM),用来使起因于增强的极紫外线辐射造成的掩模升温的影像扭曲最小化。上述低热膨胀系数材料包括熔凝二氧化硅(fused silica)、氟化钙(CaF2)、碳化硅、氧化硅―氧化钛合金及/或其他适当的低热膨胀系数材料。
多层反射层220包括多个薄膜对,例如钼―硅(Mo/Si)薄膜对(例如:在每一个薄膜对,在一层钼的上或下有一层硅)。或者,多层反射层220可具有钼―铍(Mo/Be)薄膜对或是折射率差异大且具有小的消光系数(extinction coefficient)的任意二种材料或任意二种组合材料。多层反射层220的每一层的厚度依存于辐射束104(图1A)的波长及入射角。应用于一特定的入射角,可调整多层反射层220的每一层的厚度,以对应于在多层反射层220的不同界面反射的辐射实现最大的建设性干涉。薄膜对一般的数量为20-80,但任何数量的薄膜对皆有可能。在一实施例中,多层反射层220包括40对的钼―硅薄膜对,每一对的钼―硅薄膜对的厚度约7nm,例如约3nm的钼与4nm的硅。在这个例子,是实现约70%的反射率。
盖层230是被选择为与吸收剂层250具有不同的蚀刻特性,且在吸收剂层250的一图形化或修复工艺中作为一蚀刻停止层。在本实施例中,盖层230包括钌(Ru)或例如钌―硼(ruthenium-boron;RuB)、钌―硅(ruthenium-silicon;RuSi)或氮化钌(rutheniumnitride;RuN)等的钌的化合物。吸收剂层250包括会将投射于其上的辐射束104吸收的材料。吸收剂层250包括选自铬(Cr)、氧化铬(CrO)、氮化钛(TiN)、氮化钽(TaN)、钽(Ta)、钛(Ti)或硅―铜(Al-Cu)、钯、氮化钽硼(TaBN)、氧化铝(AlO)、钼(Mo)或其他适当的材料的单层或多层材料。在一些实施例中,吸收剂层250包括一层氮化钽硼(TaBN)及在其上方作为一抗反射层的一层氧化钽硼(TaBO)(例如:2nm至20nm厚)。在本实施例中,吸收剂层250的表面部分包括一层材料,其被掺杂有一或多种元素,用以避免吸收剂层250被氧化。例如,吸收剂层250的表面部可被掺杂有氮。另外针对此例子,吸收剂层250可包括一薄层的氮化钽硼(TaBN),其覆盖一主要层的TaBO或Ta2O5
一或多层的导体层205、多层反射层220、盖层230及吸收剂层250是通过各种方法形成,包括例如蒸镀与直流磁控溅镀(DC magnetron sputtering)等的物理气相沉积(physical vapor deposition;PVD)工艺、例如非电镀法或电镀法等的一镀膜工艺、例如常压化学气相沉积(atmospheric pressure chemical vapor deposition;APCVD)、低压化学气相沉积(low-pressure chemical vapor deposition;LPCVD)、等离子体辅助化学气相沉积(plasma-enhanced chemical vapor deposition;PECVD)或高密度等离子体化学气相沉积(high density plasma chemical vapor deposition;HDPCVD)等的化学气相沉积(chemical vapor deposition;CVD)工艺、离子束沉积、旋转涂布法、金属有机物分解法(metal-organic decomposition;MOD)及/或其他方法。
仍请参考图1B,使用一或多道的光刻工艺(将在后文讨论),将多层反射层220、盖层230及吸收剂层250图形化,以形成各种沟槽252与254。特别是,沟槽252是位于一电路图形区240,而沟槽254则位于一晶粒(die)边界区,上述晶粒边界区围绕电路图形区240。
图2显示根据本发明实施例的各种实施方式的例如极紫外线光刻掩模108等的一极紫外线光刻掩模的制造方法300的流程图。方法300仅为一范例,并无用来限制明确记载于权利要求的技术以外的本发明实施例的范围的意图。可以在方法300之前、过程中及之后提供附加的操作,此处叙述的一些操作,可以被取代、删除或改变顺序,用于此方法的额外实施例。以下叙述的方法300与第3A~3I图有关,其示出极紫外线光刻掩模108在根据方法300的制造步骤的不同过程中的剖面图。
在操作302,方法300(图2)接收如图3A所示的一工件200。请参考图3A,工件200包括基底210以及形成于基底210的表面上的导体层205、多层反射层220、盖层230与吸收剂层250。特别是,导体层205是沉积在基底210的一表面上且与多层反射层220、盖层230及吸收剂层250所沉积的另一表面为相反表面。用于基底210以及导体层205、多层反射层220、盖层230与吸收剂层250的材料可参考前文对图1B所作叙述,除了吸收剂层250尚未被掺杂有一或多个氧化延迟元素(oxidation-retarding element)之外。特别是,导体层205是一导体层且可包括CrN或TaB,多层反射层220为一多层反射层,盖层230为一盖层且可包括钌或氮化钌,吸收剂层250是一吸收剂层且可包括钽。
在操作304,方法300(图2)对吸收剂层250进行图形化,以在其上制造多个电路图形。操作304包括各种工艺,其包括:在吸收剂层250的上方涂布一光刻胶层;使上述光刻胶层曝光;使上述光刻胶层显影,以形成多个光刻胶图形;使用上述光刻胶图形作为一蚀刻掩模,对吸收剂层250进行蚀刻;以及移除上述光刻胶图形。操作304的细节会进一步通过第3B~3D图作说明。
请参考图3B,例如通过一旋转涂布工艺,在吸收剂层250的上方形成一光刻胶层260。在本实施例中,光刻胶层260是对电子束敏感。光刻胶层260可以是一正型光刻胶或一负型光刻胶,且可以被涂布至任何适当的厚度。
请参考图3C,将光刻胶层260曝露于一图形化的电子束,随后将其显影以形成多个沟槽252。可以通过一正型显影(positive tone development;PTD)工艺或通过一负型显影(negative tone development;NTD)工艺,将被曝的光刻胶层260显影。在一正型显影工艺,光刻胶层260的被曝露于电子束的部分会溶解于一显影剂而被移除,而在光刻胶层260中留下沟槽252。在一负型显影工艺,光刻胶层260的未被曝露于电子束的部分会溶解于一显影剂而被移除,而在光刻胶层260中留下沟槽252。在完成将光刻胶层260显影而形成多个阻剂图形之后,使用上述阻剂图形作为一蚀刻掩模而对吸收剂层250进行蚀刻,以借此将沟槽252延伸至吸收剂层250中。
请参考图3D,例如使用阻剂剥除技术(resist stripping),将阻剂图形(光刻胶层260)从工件200移除。在示于第3B~3D图的工艺之后,在吸收剂层250中形成一电路图形区240。例如,电路图形区240可对应于一集成电路晶粒中的一层。这一层可包括主动区、栅极结构、介层结构(vias)、金属结构或其他适当的电路构件。
在操作306,方法300(图2)将吸收剂层250、盖层230与多层反射层220图形化,以形成对应于一晶粒边界区的多个沟槽。操作306包括各种工艺,其包括:在工件200的上方涂布一光刻胶层;使上述光刻胶层曝光;使上述光刻胶层显影,以形成多个光刻胶图形;使用上述光刻胶图形作为一蚀刻掩模,对各种吸收剂层250、盖层230与多层反射层220进行蚀刻;以及移除上述光刻胶图形。操作306的细节会进一步通过第3E~3G图作说明。
请参考图3E,(例如:通过一旋转涂布工艺)在工件200的上方形成另一光刻胶层270,并进行图形化以在光刻胶层270中形成多个沟槽254。在本实施例中,光刻胶层270是对电子束敏感。光刻胶层270可以是一正型光刻胶或一负型光刻胶。对光刻胶层270进行图形化包括:将光刻胶层270曝露于一图形化的电子束;以及在一正型显影工艺或一负型显影工艺中的一适当的显影剂,将光刻胶层270显影。在本实施例中,沟槽254是对应于一晶圆的集成电路晶粒之间的区域,在本实施例中称为“晶粒边界区域”。换句话说,沟槽254并未对应于电路图形,但围绕电路图形。
请参考图3F,在本例中,使用图形化的光刻胶层270作为蚀刻掩模,对吸收剂层250、盖层230与多层反射层220进行蚀刻,借此将沟槽254延伸至工件200中。沟槽254曝露出基底210的顶表面。在一些实施例中,沟槽254有助于在晶圆映像(wafer imaging)的过程中,减少或消除场对场干涉(field-to-field interference)。
请参考图3G,例如使用阻剂剥除技术,将图形化的光刻胶层270移除。如此,在基底210的上方留下多层反射层220、盖层230与吸收剂层250。特别是,图形化的多层反射层220、盖层230与吸收剂层250提供沟槽252与254。沟槽252与图形化的吸收剂层250对应于电路图形区240,沟槽254对应于一晶粒边界区域。经由沟槽252与254,曝露出多层反射层220、盖层230与吸收剂层250的各种表面。特别是,曝露出吸收剂层250的各种表面。在一些实施例中,吸收剂层250包括钽、钛、钴、钯、钼或其他元素。在吸收剂层250中的上述元素中的一些在氧环境或使用氧化剂的清洁工艺的过程中容易被氧化。例如,吸收剂层250可包括钽或硼化钽,其容易与氧反应而形成五氧化二钽(tantalum pentoxide;Ta2O5)或氧化钽硼(tantalumboron oxide;TaBO)。一旦被氧化,吸收剂层250的横向(或水平)尺寸会增加,而沟槽252的横向尺寸会减少。这样会造成上述晶圆(例如:晶圆116)上的上述电路图形的关键尺寸超出规格,而导致制造上的缺陷。为了避免这种情况发生,方法300进行操作308以对于工件200的各种曝露的表面进行处理。
在操作308,方法300(图2)将一或多种化学元素引入工件200的各种曝露的表面,以避免其受到氧化。例如在多个实施例中,可分别使用不同化学元素对工件200(极紫外线光刻掩模)进行表面改质处理,避免工件200中的各层、特别是图形化的吸收剂层250的曝露表面受到氧化。请参考图3H,化学元素280包括原子量低于15的离子物种。化学元素280具有较小的原子量,而使化学元素280较易于扩散进入工件200中的各层,特别是图形化的吸收剂层250。还有,选择化学元素280中的离子物种而使其可以与吸收剂层250(且视情况也可以与盖层230)的材料形成化合物,而所形成的化合物不易被氧化,借此增加吸收剂层250(以及视情况,盖层230)抵抗后续的清洁工艺的耐久性。在一实施例中,化学元素280包括氟(F)(或氟离子)。例如,氟与钽可形成氟化钽(TaF5),其在后续的清洁工艺的过程中不易被氧化。在另一实施例中,化学元素280包括氮(或氮离子)。例如,氮与钽可形成氮化钽(TaN)或富氮的氧氮化钽(TaON),其在后续的清洁工艺的过程中不易被氧化。在多个实施例中,可在一离子布植机使用离子布植或在一蚀刻反应室中施加等离子体,将氟及/或氮离子引入吸收剂层250(以及视情况,盖层230)的表面。在一些实施例中,吸收剂层250可能在操作308之前就已经包括相当数量的氮。在这些实施例中,操作308可进一步增加吸收剂层250的表面部分中的氮含量。
在一些实施例中,操作308使用具有350瓦至1000瓦的范围的电源功率、1mtorr至10mtorr的范围的压力以及30秒至120秒的范围的时间,来对工件200施加氮等离子体。可以以80至120sccm的范围的流速,将氮气引入反应室。在本实施例中,是使用实质上等于零的一偏功率(bias power)来施加上述氮等离子体,而使盖层230实质上未被上述氮等离子体改变。远大于零的偏电压有可能在本实施例中对包括钌的盖层230造成损害。
在各种实施例中,在操作308之后,吸收剂层250的表面部包括较高的氮含量(或者,如果将氟离子引入吸收剂层250,则为较高的氟含量)。如图3H所示,作为操作308的结果,吸收剂层250的顶表面部250a包括较高的氮含量。在一些实施例中,顶表面部250a可具有从2nm至10nm厚的范围的厚度,例如为5nm至8nm厚。例如,在吸收剂层250的顶表面部250a中的N比Ta(N:Ta)的比值,是被调整为大于或等于1.25。在如图3I所示的一些其他实施例中,不仅仅将氮离子引至顶表面部250a,亦引入吸收剂层250的侧壁表面,以形成具有较高的氮含量的侧壁表面部250b。在一些实施例中,侧壁表面部250b的厚度可小于顶表面部250a的厚度。另外,侧壁表面部250b的氮比钽的比值可小于顶表面部250a的氮比钽的比值。在一些实施例中,调整操作308而使在顶表面部250a与侧壁表面部250b的氮比钽的比值都大于或等于1.25。虽然未示出,亦可将化学元素280引入至盖层230、多层反射层220与基底210。
实验已显示,当N:Ta的比值大于或等于1.25,吸收剂层250的被处理的表面被氧化的可能性较低。例如这些被处理的表面的氧化速率可被忽视,例如在氧环境为每天0.4nm。另外,实验已显示,对于极紫外线光刻掩模施加氮等离子体,不会对此极紫外线光刻掩模的映像品质造成不良影响(例如,晶圆图形的关键尺寸与观件尺寸均匀度)。
在通过操作308的处理之后,工件200提供一极紫外线光刻掩模,例如极紫外线光刻掩模108。上述极紫外线光刻掩模包括基底210以及图形化的多层反射层220、盖层230与吸收剂层250。特别是,以例如氮等的一或多种的氧化延迟化学元素处理图形化的吸收剂层250的表面(视情况,以及盖层230的表面)。上述处理的优点是,增加上述极紫外线光刻掩模的寿命。
图4显示根据本发明实施例的各种实施方式的例如极紫外线光刻掩模108等的极紫外线光刻掩模的使用及处理方法400的流程图。方法400仅为一范例,并无用来限制明确记载于权利要求的技术以外的本发明实施例的范围的意图。可以在方法400之前、过程中及之后提供附加的操作,此处叙述的一些操作,可以被取代、删除或改变顺序,用于此方法的额外实施例。
在操作402,方法400使用上述极紫外线光刻掩模来使一或多个晶圆曝光。例如,可使用图1A的极紫外线光刻曝光系统100来施行操作402,其中极紫外线光刻掩模108是用来使包括晶圆的一或多个基底116曝光。在各种曝光工艺的过程中,粒子或污染物可能会掉落在(或另外变成依附于)上述极紫外线光刻掩模的表面。例如,粒子可能会由光刻胶的释气(outgassing)形成。这些粒子可能会对上述曝光工艺的映像的效果造成不良影响,并可能会导致在晶圆上形成的电路图形产生缺陷。因此,必须移除这些粒子,例如通过对上述极紫外线光刻掩模施加一或多道清洁工艺。
在操作404,方法400检查上述极紫外线光刻掩模的表面,以检测其上的粒子。例如,可以使用一光学扫描检查工具、一原子力显微镜(atomic forcemicroscope;AFM)、一掩模对准与叠对测量工具(photomask registration andoverlay metrology tool)或其他适当的检查工具,来施行上述检查。
如果操作404发现任何粒子,方法400会在操作406进一步将上述粒子的尺寸与一预设的尺寸阈值比较。如果上述粒子的尺寸等于或小于上述预设的尺寸阈值,则方法400进行操作408,以使用去离子水(deionized water;DIW)来清洁上述极紫外线光刻掩模。另一方面,如果上述粒子的尺寸大于上述预设的尺寸阈值,使用去离子水不再有效地将其移除,且方法400会在清洁上述极紫外线光刻掩模之前,进行操作410以使用比去离子水强烈的一药剂(例如,具有比去离子水还要强烈的氧化能力)来处理上述极紫外线光刻掩模。
在操作410,方法400将一或多种化学元素引入上述极紫外线光刻掩模的表面,特别是上述极紫外线光刻掩模的一图形化的吸收剂层的表面。操作410可以以相同(或相似)于前文讨论过的操作308的形式来操作。例如,操作410可以使用一离子布植机或一蚀刻机,例如通过将氮等离子体施加于上述极紫外线光刻掩模,将氮引入上述极紫外线光刻掩模的表面。在一特别的实施例中,操作410将氮引入上述极紫外线光刻掩模的一含钽的吸收剂层中,而使此吸收剂层的一表面部中的N:Ta的比值变成大于或等于1.25。实验显示通过将氮引入上述吸收剂层,上述吸收剂层在使用一强烈的清洁药剂的后续的清洁工艺中,会变得比较不容易被氧化。
在操作412,方法400使用比去离子水强烈的一药剂(例如,具有比去离子水还要强烈的氧化能力)来清洁上述极紫外线光刻掩模。例如,上述药剂可包括在较高温(约90℃)或较低温(远低于90℃,例如20℃至30℃)的过氧化氢(H2O2)、硫酸、氢氟酸或硫酸和过氧化氢混合物(sulfuric peroxide mixture),或是对于移除与操作404与406所述相同的大尺寸粒子有效的其他药剂。由于上述极紫外线光刻掩模的各种表面已经在操作410中得到前处理,在操作412的过程中,这些表面具有可忽略的氧化速率。在未作操作410的处理的情况,上述极紫外线光刻掩模的一些表面可能会容易发生氧化,而降低上述极紫外线光刻掩模的映像品质。还有,随着时间的进展,重复的氧化可能会使上述极紫外线光刻掩模无法使用。通过操作410连结清洁的操作412的处理的效益在于,改善上述极紫外线光刻掩模的映像品质以及展延上述极紫外线光刻掩模的寿命。
在操作408或操作412中清洁上述极紫外线光刻掩模之后,方法400可以在操作402再度使用这个极紫外线光刻掩模来施行曝光工艺。
以下叙述并无作任何限制的意图。本发明实施例的一或多个实施形态对于一半导体装置及其形成方法提供了许多效益。例如,本发明实施例的实施形态提供在掩模遮罩工艺的过程中或在掩模处理的工艺中对极紫外线光刻掩模进行处理的工艺。上述处理工艺增加了极紫外线光刻掩模抵抗各种清洁工艺的耐久性,而未对极紫外线光刻掩模的映像品质造成不良影响。
在一例示的实施方式中,本发明实施例涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,上述基底具有一低热膨胀系数材料,上述多层反射层在上述基底的上方,上述盖层在上述多层反射层的上方,上述吸收剂层在上述盖层的上方。上述半导体装置的制造方法还包括:施以第一图形化,图形化上述吸收剂层以提供对应于一晶圆上的电路图形的多个第一沟槽;以及施以第二图形化,图形化上述吸收剂层、上述盖层与上述多层反射层,以提供对应于上述晶圆上的一晶粒(die)边界区的多个第二沟槽,借此提供一极紫外线光刻(extreme ultraviolet lithography;EUVL)掩模。上述半导体装置的制造方法还包括:使用一或多个化学元素对上述极紫外线光刻掩模进行处理,以避免上述吸收剂层的曝露表面受到氧化。
在上述半导体装置的制造方法的一实施例中,上述极紫外线光刻掩模的处理,包括对上述极紫外线光刻掩模施加氮等离子体。在另一实施例中,以实质上等于零的偏功率(bias power)施加上述氮等离子体。在又另一实施例中,上述吸收剂层包括钽(Ta),且对上述极紫外线光刻掩模施加上述氮等离子体而使在上述吸收剂层的一表面层中的N比Ta(N:Ta)的比值大于或等于1.25。
在上述半导体装置的制造方法的一实施例中,上述一或多个化学元素包括氟。在一实施例中,上述半导体装置的制造方法,还包括:使用上述极紫外线光刻掩模来使一或多个晶圆曝光;以及使用去离子水(deionized water;DIW)来清洁上述极紫外线光刻掩模。在另一实施例中,上述半导体装置的制造方法,还包括:检查上述极紫外线光刻掩模的表面;以及在发现上述极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用上述一或多个化学元素对上述极紫外线光刻掩模进行处理,并使用另一清洁药剂来清洁上述极紫外线光刻掩模,上述另一清洁药剂提供的氧化能力大于去离子水的氧化能力。在一实施例中,上述另一清洁药剂包括过氧化氢、硫酸、氢氟酸或硫酸和过氧化氢混合物(sulfuric peroxidemixture)。
在上述半导体装置的制造方法的一实施例中,上述第一图形化与上述第二图形化各自包括:在上述工件的上方涂布一光刻胶层;使用电子束使上述光刻胶层曝光;使上述光刻胶层显影以形成多个光刻胶图形;以及使用上述光刻胶图形作为一蚀刻掩模,对上述工件进行蚀刻。
在另一个例示的实施方式中,本发明实施例涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,上述基底具有一低热膨胀系数材料,上述多层反射层在上述基底的上方,上述盖层具有钌且在上述多层反射层的上方,上述吸收剂层具有钽且在上述盖层的上方。上述半导体装置的制造方法还包括:图形化上述吸收剂层、上述盖层与上述多层反射层,以提供对应于一晶圆上的电路图形的多个第一沟槽以及对应于上述晶圆上的一晶粒(die)边界区的多个第二沟槽,借此提供一极紫外线光刻(extremeultraviolet lithography;EUVL)掩模。上述半导体装置的制造方法还包括:使用氮等离子体对上述极紫外线光刻掩模进行处理。
在上述半导体装置的制造方法的一实施例中,以实质上等于零的偏功率(biaspower)施加上述氮等离子体,而使上述盖层实质上未被上述氮等离子体改变。在另一实施例中,施加上述氮等离子体的时间是在30秒至120秒的范围、电源功率是在350瓦特至1000瓦特的范围、且压力是在1mtorr至10mtorr的范围。在又另一实施例中,以制造上述吸收剂层的一表面层并使上述表面层的N比Ta(N:Ta)的比值大于或等于1.25的方式,施加上述氮等离子体。
在一实施例中,半导体装置的制造方法,还包括:使用上述极紫外线光刻掩模来使一或多个晶圆曝光;检查上述极紫外线光刻掩模的表面;以及在发现上述极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用第二氮等离子体对上述极紫外线光刻掩模进行处理。
在另一实施例中,在使用上述第二氮等离子体对上述极紫外线光刻掩模进行处理之后,还包括:使用一清洁药剂来清洁上述极紫外线光刻掩模,上述清洁药剂提供的氧化能力大于去离子水(deionized water;DIW)的氧化能力。在另一实施例中,上述清洁药剂包括过氧化氢、硫酸、氢氟酸或硫酸和过氧化氢混合物(sulfuric peroxide mixture)。
在又另一实施例中,在检查上述极紫外线光刻掩模的表面以及在发现上述极紫外线光刻掩模未具有大于上述尺寸阈值的粒子的情况,还包括:使用去离子水(deionizedwater;DIW)来清洁上述极紫外线光刻掩模。
在又另一个例示的实施方式中,本发明实施例涉及一种半导体装置的制造方法。上述半导体装置的制造方法,包括:使用一极紫外线光刻(extreme ultravioletlithography;EUVL)掩模来使一或多个晶圆曝光;检查上述极紫外线光刻掩模的表面;在发现上述极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用氮等离子体对上述极紫外线光刻掩模进行处理;以及在使用上述氮等离子体对上述极紫外线光刻掩模进行处理之后,使用一清洁药剂来清洁上述极紫外线光刻掩模,上述清洁药剂包括过氧化氢、硫酸、氢氟酸或硫酸和过氧化氢混合物(sulfuric peroxide mixture)。
在一实施例中,在检查上述极紫外线光刻掩模的表面以及在发现上述极紫外线光刻掩模未具有大于上述尺寸阈值的粒子的情况,还包括:使用去离子水(deionized water;DIW)来清洁上述极紫外线光刻掩模。
在上述半导体装置的制造方法的一实施例中,上述极紫外线光刻掩模包括一吸收剂层,上述吸收剂层包括钽(Ta);以及以使在上述吸收剂层的一表面层中的N比Ta(N:Ta)的比值大于或等于1.25的方式,施加上述氮等离子体而使用上述氮等离子体对上述极紫外线光刻掩模进行处理。
前述内文概述了许多实施例的特征,使所属技术领域中技术人员可以从各个方面优选地了解本发明实施例。所属技术领域中技术人员应可理解,且可轻易地以本发明实施例为基础来设计或修饰其他工艺及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。所属技术领域中技术人员也应了解这些均等的结构并未背离本发明实施例的发明构思与范围。在不背离本发明实施例的发明构思与范围的前提下,可对本发明实施例进行各种改变、置换或修改。

Claims (10)

1.一种半导体装置的制造方法,包括:
接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,该基底具有一低热膨胀系数材料,该多层反射层在该基底的上方,该盖层在该多层反射层的上方,该吸收剂层在该盖层的上方;
施以第一图形化,图形化该吸收剂层以提供对应于一晶圆上的电路图形的多个第一沟槽;
施以第二图形化,图形化该吸收剂层、该盖层与该多层反射层,以提供对应于该晶圆上的一晶粒边界区的多个第二沟槽,借此提供一极紫外线光刻掩模;以及
使用一或多个化学元素对该极紫外线光刻掩模进行处理,以避免该吸收剂层的曝露表面受到氧化。
2.如权利要求1所述的半导体装置的制造方法,其中该极紫外线光刻掩模的处理,包括对该极紫外线光刻掩模施加氮等离子体。
3.如权利要求2所述的半导体装置的制造方法,其中该吸收剂层包括钽,且对该极紫外线光刻掩模施加该氮等离子体而使在该吸收剂层的一表面层中的N比Ta的比值大于或等于1.25。
4.如权利要求1所述的半导体装置的制造方法,还包括:
使用该极紫外线光刻掩模来使一或多个晶圆曝光;以及
使用去离子水来清洁该极紫外线光刻掩模。
5.如权利要求4所述的半导体装置的制造方法,还包括:
检查该极紫外线光刻掩模的表面;以及
在发现该极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用上述一或多个化学元素对该极紫外线光刻掩模进行处理,并使用另一清洁药剂来清洁该极紫外线光刻掩模,该另一清洁药剂提供的氧化能力大于去离子水的氧化能力。
6.一种半导体装置的制造方法,包括:
接收一工件,其包括一基底、一多层反射层、一盖层与一吸收剂层,该基底具有一低热膨胀系数材料,该多层反射层在该基底的上方,该盖层具有钌且在该多层反射层的上方,该吸收剂层具有钽且在该盖层的上方;
图形化该吸收剂层、该盖层与该多层反射层,以提供对应于一晶圆上的电路图形的多个第一沟槽以及对应于该晶圆上的一晶粒边界区的多个第二沟槽,借此提供一极紫外线光刻掩模;以及
使用氮等离子体对该极紫外线光刻掩模进行处理。
7.如权利要求6所述的半导体装置的制造方法,其中以实质上等于零的偏功率施加该氮等离子体,而使该盖层实质上未被该氮等离子体改变。
8.如权利要求6所述的半导体装置的制造方法,其中以制造该吸收剂层的一表面层并使该表面层的N比Ta的比值大于或等于1.25的方式,施加该氮等离子体。
9.一种半导体装置的制造方法,包括:
使用一极紫外线光刻掩模来使一或多个晶圆曝光;
检查该极紫外线光刻掩模的表面;
在发现该极紫外线光刻掩模具有大于一尺寸阈值的粒子的情况,使用氮等离子体对该极紫外线光刻掩模进行处理;以及
在使用该氮等离子体对该极紫外线光刻掩模进行处理之后,使用一清洁药剂来清洁该极紫外线光刻掩模,该清洁药剂包括过氧化氢、硫酸、氢氟酸或硫酸和过氧化氢混合物。
10.如权利要求9所述的半导体装置的制造方法,其中
该极紫外线光刻掩模包括一吸收剂层,该吸收剂层包括钽;以及
以使在该吸收剂层的一表面层中的N比Ta的比值大于或等于1.25的方式,施加该氮等离子体而使用该氮等离子体对该极紫外线光刻掩模进行处理。
CN201811258664.2A 2018-04-18 2018-10-26 半导体装置的制造方法 Active CN110389500B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/956,189 2018-04-18
US15/956,189 US11048158B2 (en) 2018-04-18 2018-04-18 Method for extreme ultraviolet lithography mask treatment

Publications (2)

Publication Number Publication Date
CN110389500A true CN110389500A (zh) 2019-10-29
CN110389500B CN110389500B (zh) 2021-09-10

Family

ID=68236383

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811258664.2A Active CN110389500B (zh) 2018-04-18 2018-10-26 半导体装置的制造方法

Country Status (3)

Country Link
US (2) US11048158B2 (zh)
CN (1) CN110389500B (zh)
TW (1) TWI720357B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110986821A (zh) * 2019-12-16 2020-04-10 广东谨诺科技有限公司 一种透可见光且反紫外光工件影像测量仪

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9839946B2 (en) * 2015-02-04 2017-12-12 The Boeing Company System and method for high speed FOD detection
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US11215918B2 (en) 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
CN116635784A (zh) * 2020-11-20 2023-08-22 恩特格里斯公司 用于光刻的相移光罩
TW202246879A (zh) * 2021-02-09 2022-12-01 美商應用材料股份有限公司 極紫外光遮罩毛胚結構
CN114859675A (zh) * 2022-07-06 2022-08-05 上海传芯半导体有限公司 曝光成像结构、反射式光掩模版组及投影式光刻机

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101558183A (zh) * 2006-12-08 2009-10-14 应用材料股份有限公司 等离子体沉浸离子注入工艺
CN101946208A (zh) * 2008-02-19 2011-01-12 旭硝子株式会社 Euvl用光学部件及其平滑方法
US20110117479A1 (en) * 2009-11-19 2011-05-19 Renesas Electronics Corporation Reflective exposure mask, method of manufacturing reflective exposure mask, and method of manufacturing semiconductor device
CN102687071A (zh) * 2009-12-09 2012-09-19 旭硝子株式会社 带反射层的euv光刻用衬底、euv光刻用反射型掩模坯料、euv光刻用反射型掩模、和该带反射层的衬底的制造方法
DE102015108569A1 (de) * 2015-05-29 2016-12-01 Advanced Mask Technology Center Gmbh & Co. Kg Reflektierende fotomaske und reflexionstyp-maskenrohling
CN107230672A (zh) * 2016-03-24 2017-10-03 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN107452602A (zh) * 2016-06-01 2017-12-08 台湾积体电路制造股份有限公司 高耐久性极紫外光掩模

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG172999A1 (en) 2009-01-16 2011-08-29 Fujifilm Electronic Materials Nonpolymeric binders for semiconductor substrate coatings
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
US9535317B2 (en) 2014-12-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101558183A (zh) * 2006-12-08 2009-10-14 应用材料股份有限公司 等离子体沉浸离子注入工艺
CN101946208A (zh) * 2008-02-19 2011-01-12 旭硝子株式会社 Euvl用光学部件及其平滑方法
US20110117479A1 (en) * 2009-11-19 2011-05-19 Renesas Electronics Corporation Reflective exposure mask, method of manufacturing reflective exposure mask, and method of manufacturing semiconductor device
CN102687071A (zh) * 2009-12-09 2012-09-19 旭硝子株式会社 带反射层的euv光刻用衬底、euv光刻用反射型掩模坯料、euv光刻用反射型掩模、和该带反射层的衬底的制造方法
DE102015108569A1 (de) * 2015-05-29 2016-12-01 Advanced Mask Technology Center Gmbh & Co. Kg Reflektierende fotomaske und reflexionstyp-maskenrohling
CN107230672A (zh) * 2016-03-24 2017-10-03 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN107452602A (zh) * 2016-06-01 2017-12-08 台湾积体电路制造股份有限公司 高耐久性极紫外光掩模

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110986821A (zh) * 2019-12-16 2020-04-10 广东谨诺科技有限公司 一种透可见光且反紫外光工件影像测量仪

Also Published As

Publication number Publication date
US11906897B2 (en) 2024-02-20
US20190324364A1 (en) 2019-10-24
TW201944167A (zh) 2019-11-16
TWI720357B (zh) 2021-03-01
US11048158B2 (en) 2021-06-29
CN110389500B (zh) 2021-09-10
US20210311383A1 (en) 2021-10-07

Similar Documents

Publication Publication Date Title
CN110389500A (zh) 半导体装置的制造方法
US8785084B2 (en) Method for mask fabrication and repair
JP5515235B2 (ja) 多層膜反射鏡、照明光学系、投影光学系、露光装置、デバイス製造方法、多層膜反射鏡の製造方法
US7078134B2 (en) Photolithographic mask having a structure region covered by a thin protective coating of only a few atomic layers and methods for the fabrication of the mask including ALCVD to form the thin protective coating
US9733562B2 (en) Extreme ultraviolet lithography process and mask
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
US11960201B2 (en) Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
JP4478568B2 (ja) 改良されたレチクルの製造のためにアモルファスカーボン層を使用する方法
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US20230152681A1 (en) Euv masks to prevent carbon contamination
US9291890B2 (en) Method for repairing a mask
US8916482B2 (en) Method of making a lithography mask
Deguchi et al. Proximity X-ray and extreme ultraviolet lithography
US9690186B2 (en) Extreme ultraviolet lithography process and mask
Abboud et al. Pattern generation requirements for mask making beyond 130 nm
Zhang et al. Cr absorber mask for extreme-ultraviolet lithography
KR20140080402A (ko) 적층형 마스크
Mangat Challenges and opportunities for EPL and EUVL masks

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant