TW201944167A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法

Info

Publication number
TW201944167A
TW201944167A TW107137534A TW107137534A TW201944167A TW 201944167 A TW201944167 A TW 201944167A TW 107137534 A TW107137534 A TW 107137534A TW 107137534 A TW107137534 A TW 107137534A TW 201944167 A TW201944167 A TW 201944167A
Authority
TW
Taiwan
Prior art keywords
extreme ultraviolet
layer
mask
manufacturing
semiconductor device
Prior art date
Application number
TW107137534A
Other languages
English (en)
Other versions
TWI720357B (zh
Inventor
許倍誠
蘇益辰
蔡繼光
連大成
王子奕
張宗裕
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201944167A publication Critical patent/TW201944167A/zh
Application granted granted Critical
Publication of TWI720357B publication Critical patent/TWI720357B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種方法,包括:接收一工件,其包括具有一低熱膨脹係數材料的一基底、在上述基底的上方的一多層反射層、在上述多層反射層的上方的一蓋層與在上述蓋層的上方的一吸收劑層。上述方法更包括:圖形化上述吸收劑層以提供對應於一晶圓上的電路圖形的複數個第一溝槽;以及圖形化上述吸收劑層、上述蓋層與上述多層反射層,以提供對應於上述晶圓上的一晶粒邊界區的複數個第二溝槽,藉此提供一極紫外線微影罩幕。上述方法更包括:使用不同化學元素對上述極紫外線微影罩幕進行表面改質處理,避免上述吸收劑層的曝露表面受到氧化。

Description

半導體裝置的製造方法
本發明實施例是關於半導體裝置的製造系統與方法,特別是關於製造、使用及處理極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。
半導體積體電路(integrated circuit;IC)工業已歷經了快速的成長。在積體電路革命的過程中,通常是隨著功能密度(例如:每單位晶片面積的互連的裝置數量)的增加而縮減幾何尺寸(例如:使用一製程所能形成的最小構件(或是線))。這樣的尺寸縮減的過程通常會藉由增加製造效率與降低關連的成本而獲得效益。這樣的尺寸縮減亦會增加所加工及製造的積體電路的複雜度,而且為了實現這樣的進步,需要在積體電路的製造方面有同樣的發展。
例如,極紫外線(extreme ultraviolet lithography;EUV)微影已用來支援小尺寸裝置的關鍵尺寸(critical dimension;CD)需求。極紫外線微影使用的掃描器是使用在極紫外線區域的輻射,其波長為約1-100nm。有些極紫外線掃描器提供4×縮小投影曬像(projection printing),與一些光學掃描器類似,除了極紫外線掃描器是使用反射光學系統而不是折射光學系統,例如使用反射鏡而不是透鏡。用於極紫外線微影的罩幕(亦稱為極紫外線微影罩幕或EUVL罩幕)面臨了新的挑戰。例如,極紫外線微影罩幕一般是包括一圖形化的吸收劑層,上述圖形化的吸收劑層是在一多層反射層的上方,其中上述圖形化的吸收劑層提供用以使晶圓曝光的圖形。上述圖形化的吸收劑層在周遭環境中或在罩幕清潔製程的過程中,會變得容易受到氧化。這樣的氧化會對使用此極紫外線微影罩幕曝光的晶圓上的關鍵尺寸,造成不良影響。因此,雖然現有的微影方法通常已達堪用程度,但未在所有方面都令人滿意。
本發明實施例之一是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,上述基底具有一低熱膨脹係數材料,上述多層反射層在上述基底的上方,上述蓋層在上述多層反射層的上方,上述吸收劑層在上述蓋層的上方。上述半導體裝置的製造方法還包括:施以第一圖形化,圖形化上述吸收劑層以提供對應於一晶圓上的電路圖形的複數個第一溝槽;以及施以第二圖形化,圖形化上述吸收劑層、上述蓋層與上述多層反射層,以提供對應於上述晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。上述半導體裝置的製造方法還包括:使用一或多個化學元素對上述極紫外線微影罩幕進行處理,以避免上述吸收劑層的曝露表面受到氧化。
另一個本發明實施例是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,上述基底具有一低熱膨脹係數材料,上述多層反射層在上述基底的上方,上述蓋層具有釕且在上述多層反射層的上方,上述吸收劑層具有鉭且在上述蓋層的上方。上述半導體裝置的製造方法還包括:圖形化上述吸收劑層、上述蓋層與上述多層反射層,以提供對應於一晶圓上的電路圖形的複數個第一溝槽以及對應於上述晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。上述半導體裝置的製造方法還包括:使用氮電漿對上述極紫外線微影罩幕進行表面處理。
又另一個本發明實施例是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:使用一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕來使一或多個晶圓曝光;檢查上述極紫外線微影罩幕的表面;在發現上述極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用氮電漿對上述極紫外線微影罩幕進行處理;以及在使用上述氮電漿對上述極紫外線微影罩幕進行處理之後,使用一清潔藥劑來清潔上述極紫外線微影罩幕,上述清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包括上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包括了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種特徵。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
整體而言,本發明實施例是關於半導體裝置的製造系統與方法,特別是關於製造、使用及處理極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。極紫外線微影製程已用來達成增加在積體電路中的功能性密度及減少在積體電路中的特徵尺寸。在紫外線微影製程中,極紫外線微影罩幕是一重要元件。在極紫外線微影罩幕所參與的各種曝光及處理製程的過程中,粒子可能會落在極紫外線微影罩幕的表面上。因此,極紫外線微影罩幕通常會歷經各種清潔製程,以確保其表面的潔淨。在上述清潔製程的過程中,例如一含鉭吸收劑層等的極紫外線微影罩幕中的一些層可能容易被氧化。上述氧化會減少極紫外線微影罩幕中的溝槽的尺寸,因此而對使用此極紫外線微影罩幕映像的晶圓上的圖形的關鍵尺寸造成不良影響。本發明實施例提供解決這些問題的半導體裝置的製造方法。
第1A圖顯示一極紫外線微影曝光系統100,其因為本發明實施例的一或多個實施形態而獲益。極紫外線微影曝光系統100包括一輻射源102、聚光器(condenser optics)106、一極紫外線微影罩幕108、投影光學(projection optics)112以及一基底116,輻射源102產生一輻射束(radiation beam)104,極紫外線微影罩幕108在一罩幕載台(mask stage)110上,基底116在一基底載台(substrate stage)114上。特別是,根據本發明實施例的複數個態樣,以一或多個化學元素來處理極紫外線微影罩幕108,以避免或減少其內的吸收劑層的氧化。可作其他配置及品項的納入或省略。在本實施例中,極紫外線微影曝光系統100可以是一步進器(stepper)或一掃描器。極紫外線微影曝光系統100的元件會在後文進一步敘述。
輻射源102提供的輻射束104具有在極紫外線範圍的波長,例如約1-100nm。在一實施例中,輻射束104的波長為約13.5nm。聚光器106包括一多層塗布的收集器(collector)及複數個掠射鏡(grazing mirrors)。聚光器106是設置來收集並引導輻射束104,並提供通往極紫外線微影罩幕108的輻射束104的狹縫。
極紫外線微影罩幕108又稱為光罩(photomask)或圖罩(reticle),其包括一或多個目標積體電路裝置的圖形。極紫外線微影罩幕108對輻射束104提供一圖形化的空中影像(aerial image)。在本實施例中,極紫外線微影罩幕108是一反射式的罩幕,將會在後文對第1B圖的敘述中詳細敘述。特別是,以一或多種元素對極紫外線微影罩幕108的一些表面進行處理,其避免或延緩這些表面的氧化。這樣會強化藉由極紫外線微影曝光系統100轉移的圖形的精確度,並增加極紫外線微影罩幕108的可再用性(reusability)。極紫外線微影罩幕108可結合例如相位移罩幕(phase-shifting mask;PSM)及/或光學近接修正(optical proximity correction;OPC)等的解析度強化技術。罩幕載台110例如藉由真空,保護其上的極紫外線微影罩幕108,並在極紫外線微影曝光系統100中進行對準、對焦、水平校正(leveling)及曝光操作的過程中,提供極紫外線微影罩幕108的精確位置及精確移動。
投影光學112包括一或多個透鏡及複數個反射鏡。上述透鏡可具有小於一的倍率,藉此縮小到達基底116之極紫外線微影罩幕108的圖形化的空中影像。
基底116包括一半導體晶圓,此半導體晶圓具有一光阻(或阻劑)層,此光阻(或阻劑)層對於輻射束104敏感。基底116是被基底載台114所保護,基底載台114在極紫外線微影曝光系統100中進行對準、對焦、水平校正及曝光操作的過程中,提供基底116的精確位置及精確移動,而以具有再現性的態樣(可經由其他的微影方法)將極紫外線微影罩幕108的圖形化的空中影像曝光至基底116上。
在使基底116曝光於輻射束104之後,將基底116移至一顯影劑,在此,上述光阻層的一些區域會被移除,取決於此區域是否被曝光於輻射束104,藉此將圖形由極紫外線微影罩幕108轉移至基底116。在一些實施例中,一顯影劑包括水系顯影劑,例如氫氧化四甲銨(tetramethylammonium hydroxide;TMAH),用於正型顯影(positive tone development;PTD)。在其他實施例中,一顯影劑可包括一有機溶劑或數種有機溶劑混合物,例如甲基戊基酮(methyl a-amyl ketone;MAK)或包括甲基戊基酮的一混合物,用於負型顯影(negative tone development;NTD)。塗布顯影劑包括例如藉由一旋轉塗布製程,將顯影劑噴灑在曝露的阻劑膜上。塗布顯影劑亦包括使用一曝後烘烤(post exposure bake;PEB)製程、一顯影後烘烤(post develop bake;PDB)製程或上述之組合。將已顯影或圖形化的光阻層用於進一步對基底116進行加工,以形成目標的積體電路裝置。例如,可以使用上述圖形化的光阻層作為一蝕刻罩幕,蝕刻基底116的一或多層。
請參考第1B圖,此處顯示的是極紫外線微影罩幕108的一實施例的剖面圖(局部),其根據本發明實施例的實施方式建構及處理。特別是,極紫外線微影罩幕108的一些表面被植入例如氮等的一或多種元素,其保護或延遲這些表面的氧化。極紫外線微影罩幕108包括一基底210、一多層反射層(multilayer;ML)220、一蓋層230、一吸收劑層250與一導體層205,多層反射層220沉積在基底210的上方,蓋層230沉積在多層反射層220的上方,吸收劑層250沉積在蓋層230的上方,導體層205為了靜電吸附(electrostatic chucking)的目的而在基底210的下方。在一實施例中,極紫外線微影罩幕108可更包括一保護層(未繪示),上述保護層是沉積在吸收劑層250的上方。在極紫外線微影罩幕108中,可作其他配置及品項的納入或省略。
在一實施例中,導體層205包括氮化鉻(CrN)。在另一實施例中,導體層205包括一種鉭的硼化物,例如TaB。基底210包括一低熱膨脹係數材料(low thermal expansion material;LTEM),用來使起因於增強的極紫外線輻射造成的罩幕升溫的影像扭曲最小化。上述低熱膨脹係數材料包括熔凝二氧化矽(fused silica)、氟化鈣(CaF2 )、碳化矽、氧化矽―氧化鈦合金及/或其他適當的低熱膨脹係數材料。
多層反射層220包括複數個薄膜對,例如鉬―矽(Mo/Si)薄膜對(例如:在每一個薄膜對,在一層鉬之上或下有一層矽)。或者,多層反射層220可具有鉬―鈹(Mo/Be)薄膜對或是折射率差異大且具有小的消光係數(extinction coefficient)的任意二種材料或任意二種組合材料。多層反射層220的每一層的厚度依存於輻射束104(第1A圖)的波長及入射角。應用於一特定的入射角,可調整多層反射層220的每一層的厚度,以對應於在多層反射層220的不同界面反射的輻射達成最大的建設性干涉。薄膜對一般的數量為20-80,但任何數量的薄膜對皆有可能。在一實施例中,多層反射層220包括40對的鉬―矽薄膜對,每一對的鉬―矽薄膜對的厚度約7nm,例如約3nm的鉬與4nm的矽。在這個例子,是達成約70%的反射率。
蓋層230是被選擇為與吸收劑層250具有不同的蝕刻特性,且在吸收劑層250的一圖形化或修復製程中作為一蝕刻停止層。在本實施例中,蓋層230包括釕(Ru)或例如釕―硼(ruthenium-boron;RuB)、釕―矽(ruthenium-silicon;RuSi)或氮化釕(ruthenium nitride;RuN)等的釕的化合物。吸收劑層250包括會將投射於其上的輻射束104吸收的材料。吸收劑層250包括選自鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)或矽―銅(Al-Cu)、鈀、氮化鉭硼(TaBN)、氧化鋁(AlO)、鉬(Mo)或其他適當的材料的單層或多層材料。在一些實施例中,吸收劑層250包括一層氮化鉭硼(TaBN)及在其上方作為一抗反射層的一層氧化鉭硼(TaBO)(例如:2nm至20nm厚)。在本實施例中,吸收劑層250的表面部分包括一層材料,其被摻雜有一或多種元素,用以避免吸收劑層250被氧化。例如,吸收劑層250的表面部可被摻雜有氮。另外針對此例子,吸收劑層250可包括一薄層的氮化鉭硼(TaBN),其覆蓋一主要層的TaBO或Ta2 O5
一或多層的導體層205、多層反射層220、蓋層230及吸收劑層250是藉由各種方法形成,包括例如蒸鍍與直流磁控濺鍍(DC magnetron sputtering)等的物理氣相沉積(physical vapor deposition;PVD)製程、例如非電鍍法或電鍍法等的一鍍膜製程、例如常壓化學氣相沉積(atmospheric pressure chemical vapor deposition;APCVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition;LPCVD)、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)或高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)等的化學氣相沉積(chemical vapor deposition;CVD)製程、離子束沉積、旋轉塗布法、金屬有機物分解法(metal-organic decomposition;MOD)及/或其他方法。
仍請參考第1B圖,使用一或多道的微影製程(將在後文討論),將多層反射層220、蓋層230及吸收劑層250圖形化,以形成各種溝槽252與254。特別是,溝槽252是位於一電路圖形區240,而溝槽254則位於一晶粒(die)邊界區,上述晶粒邊界區圍繞電路圖形區240。
第2圖顯示根據本發明實施例的各種態樣的例如極紫外線微影罩幕108等的一極紫外線微影罩幕的製造方法300的流程圖。方法300僅為一範例,並無用來限制明確記載於申請專利範圍的技術以外的本發明實施例的範圍之意圖。可以在方法300之前、過程中及之後提供附加的操作,此處敘述的一些操作,在可以被取代、刪除或改變順序,用於此方法的額外實施例。以下敘述的方法300與第3A~3I圖有關,其繪示極紫外線微影罩幕108在根據方法300的製造步驟的不同過程中的剖面圖。
在操作302,方法300(第2圖)接收如第3A圖所示的一工件200。請參考第3A圖,工件200包括基底210以及形成於基底210的表面上的導體層205、多層反射層220、蓋層230與吸收劑層250。特別是,導體層205是沉積在基底210的一表面上且與多層反射層220、蓋層230與吸收劑層250所沉積的另一表面為相反表面。用於基底210以及導體層205、多層反射層220、蓋層230與吸收劑層250的材料可參考前文對第1B圖所作敘述,除了吸收劑層250尚未被摻雜有一或多個氧化延遲元素(oxidation-retarding element)之外。特別是,導體層205是一導體層且可包括CrN或TaB,多層反射層220為一多層反射層,蓋層230為一蓋層且可包括釕或氮化釕,吸收劑層250是一吸收劑層且可包括鉭。
在操作304,方法300(第2圖)對吸收劑層250進行圖形化,以在其上製造複數個電路圖形。操作304包括各種製程,其包括:在吸收劑層250的上方塗布一光阻層;使上述光阻層曝光;使上述光阻層顯影,以形成複數個光阻圖形;使用上述光阻圖形作為一蝕刻罩幕,對吸收劑層250進行蝕刻;以及移除上述光阻圖形。操作304的細節會進一步藉由第3B~3D圖作說明。
請參考第3B圖,例如藉由一旋轉塗布製程,在吸收劑層250的上方形成一光阻層260。在本實施例中,光阻層260是對電子束敏感。光阻層260可以是一正型光阻或一負型光阻,且可以被塗布至任何適當的厚度。
請參考第3C圖,將光阻層260曝露於一圖形化的電子束,隨後將其顯影以形成複數個溝槽252。可以藉由一正型顯影(positive tone development;PTD)製程或藉由一負型顯影(negative tone development;NTD)製程,將被曝的光阻層260顯影。在一正型顯影製程,光阻層260之被曝露於電子束的部分會溶解於一顯影劑而被移除,而在光阻層260中留下溝槽252。在一負型顯影製程,光阻層260之未被曝露於電子束的部分會溶解於一顯影劑而被移除,而在光阻層260中留下溝槽252。在完成將光阻層260顯影而形成複數個阻劑圖形之後,使用上述阻劑圖形作為一蝕刻罩幕而對吸收劑層250進行蝕刻,以藉此將溝槽252延伸至吸收劑層250中。
請參考第3D圖,例如使用阻劑剝除技術(resist stripping),將阻劑圖形(光阻層260)從工件200移除。在示於第3B~3D圖的製程之後,在吸收劑層250中形成一電路圖形區240。例如,電路圖形區240可對應於一積體電路晶粒中的一層。這一層可包括主動區、閘極結構、介層結構(vias)、金屬結構或其他適當的電路構件。
在操作306,方法300(第2圖)將吸收劑層250、蓋層230與多層反射層220圖形化,以形成對應於一晶粒邊界區的複數個溝槽。操作306包括各種製程,其包括:在工件200的上方塗布一光阻層;使上述光阻層曝光;使上述光阻層顯影,以形成複數個光阻圖形;使用上述光阻圖形作為一蝕刻罩幕,對各種吸收劑層250、蓋層230與多層反射層220進行蝕刻;以及移除上述光阻圖形。操作306的細節會進一步藉由第3E~3G圖作說明。
請參考第3E圖,(例如:藉由一旋轉塗布製程)在工件200的上方形成另一光阻層270,並進行圖形化以在光阻層270中形成複數個溝槽254。在本實施例中,光阻層270是對電子束敏感。光阻層270可以是一正型光阻或一負型光阻。對光阻層270進行圖形化包括:將光阻層270曝露於一圖形化的電子束;以及在一正型顯影製程或一負型顯影製程中的一適當的顯影劑,將光阻層270顯影。在本實施例中,溝槽254是對應於一晶圓之積體電路晶粒之間的區域,在本實施例中稱之為「晶粒邊界區域」。換句話說,溝槽254並未對應於電路圖形,但圍繞電路圖形。
請參考第3F圖,在本例中,使用圖形化的光阻層270作為蝕刻罩幕,對吸收劑層250、蓋層230與多層反射層220進行蝕刻,藉此將溝槽254延伸至工件200中。溝槽254曝露出基底210的頂表面。在一些實施例中,溝槽254有助於在晶圓映像(wafer imaging)的過程中,減少或消除場對場干涉(field-to-field interference)。
請參考第3G圖,例如使用阻劑剝除技術,將圖形化的光阻層270移除。如此,在基底210的上方留下多層反射層220、蓋層230與吸收劑層250。特別是,圖形化的多層反射層220、蓋層230與吸收劑層250提供溝槽252與254。溝槽252與圖形化的吸收劑層250對應於電路圖形區240,溝槽254對應於一晶粒邊界區域。經由溝槽252與254,曝露出多層反射層220、蓋層230與吸收劑層250的各種表面。特別是,曝露出吸收劑層250的各種表面。在一些實施例中,吸收劑層250包括鉭、鈦、鈷、鈀、鉬或其他元素。在吸收劑層250中的上述元素中的一些在氧環境或使用氧化劑的清潔製程的過程中容易被氧化。例如,吸收劑層250可包括鉭或硼化鉭,其容易與氧反應而形成五氧化二鉭(tantalum pentoxide;Ta2 O5 )或氧化鉭硼(tantalum boron oxide;TaBO)。一旦被氧化,吸收劑層250的橫向(或水平)尺寸會增加,而溝槽252的橫向尺寸會減少。這樣會造成上述晶圓(例如:晶圓116)上的上述電路圖形的關鍵尺寸超出規格,而導致製造上的缺陷。為了避免這種情況發生,方法300進行操作308以對於工件200的各種曝露的表面進行處理。
在操作308,方法300(第2圖)將一或多種化學元素引入工件200的各種曝露的表面,以避免其受到氧化。例如在複數個實施例中,可分別使用不同化學元素對工件200(極紫外線微影罩幕)進行表面改質處理,避免工件200中的各層、特別是圖形化的吸收劑層250的曝露表面受到氧化。請參考第3H圖,化學元素280包括原子量低於15的離子物種。具有較小的原子量,而使化學元素280較易於擴散進入工件200中的各層,特別是圖形化的吸收劑層250。還有,選擇化學元素280中的離子物種而使其可以與吸收劑層250(且視情況也可以與蓋層230)的材料形成化合物,而所形成的化合物不易被氧化,藉此增加吸收劑層250(以及視情況,蓋層230)抵抗後續的清潔製程的耐久性。在一實施例中,化學元素280包括氟(F)(或氟離子)。例如,氟與鉭可形成氟化鉭(TaF5 ),其在後續的清潔製程的過程中不易被氧化。在另一實施例中,化學元素280包括氮(或氮離子)。例如,氮與鉭可形成氮化鉭(TaN)或富氮的氧氮化鉭(TaON),其在後續的清潔製程的過程中不易被氧化。在複數個實施例中,可在一離子佈植機使用離子佈植或在一蝕刻反應室中施加電漿,將氟及/或氮離子引入吸收劑層250(以及視情況,蓋層230)的表面。在一些實施例中,吸收劑層250可能在操作308之前就已經包括相當數量的氮。在這些實施例中,操作308可進一步增加吸收劑層250的表面部分中的氮含量。
在一些實施例中,操作308使用具有350瓦至1000瓦的範圍的來源功率、1 mtorr至10 mtorr的範圍的壓力以及30秒至120秒的範圍的時間,來對工件200施加氮電漿。可以以80至120sccm的範圍的流速,將氮氣引入反應室。在本實施例中,是使用實質上等於零的一偏功率(bias power)來施加上述氮電漿,而使蓋層230實質上未被上述氮電漿改變。遠大於零的偏電壓有可能在本實施例中對包括釕的蓋層230造成損害。
在各種實施例中,在操作308之後,吸收劑層250的表面部包括較高的氮含量(或者,如果將氟離子引入吸收劑層250,則為較高的氟含量)。如第3H圖所示,作為操作308的結果,吸收劑層250的頂表面部250a包括較高的氮含量。在一些實施例中,頂表面部250a可具有從2nm至10nm厚的範圍的厚度,例如為5nm至8nm厚。例如,在吸收劑層250的頂表面部250a中的N比Ta (N:Ta)的比值,是被調整為大於或等於1.25。在如第3I圖所示的一些其他實施例中,不僅僅將氮離子引至頂表面部250a,亦引入吸收劑層250的側壁表面,以形成具有較高的氮含量的側壁表面部250b。在一些實施例中,側壁表面部250b的厚度可小於頂表面部250a的厚度。另外,側壁表面部250b的氮比鉭的比值可小於頂表面部250a的氮比鉭的比值。在一些實施例中,調整操作308而使在頂表面部250a與側壁表面部250b的氮比鉭的比值都大於或等於1.25。雖然未繪示,亦可將化學元素280引入至蓋層230、多層反射層220與基底210。
實驗已顯示,當N:Ta的比值大於或等於1.25,吸收劑層250的被處理的表面被氧化的可能性較低。例如這些被處理的表面的氧化速率可被忽視,例如在氧環境為每天0.4nm。另外,實驗已顯示,對於極紫外線微影罩幕施加氮電漿,不會對此極紫外線微影罩幕的映像品質造成不良影響(例如,晶圓圖形的關鍵尺寸與觀件尺寸均勻度)。
在藉由操作308的處理之後,工件200提供一極紫外線微影罩幕,例如極紫外線微影罩幕108。上述極紫外線微影罩幕包括基底210以及圖形化的多層反射層220、蓋層230與吸收劑層250。特別是,以例如氮等的一或多種的氧化延遲化學元素處理圖形化的吸收劑層250的表面(視情況,以及蓋層230的表面)。上述處理的優點是,增加上述極紫外線微影罩幕的壽命。
第4圖顯示根據本發明實施例的各種態樣的例如極紫外線微影罩幕108等的極紫外線微影罩幕的使用及處理方法400的流程圖。方法400僅為一範例,並無用來限制明確記載於申請專利範圍的技術以外的本發明實施例的範圍之意圖。可以在方法400之前、過程中及之後提供附加的操作,此處敘述的一些操作,在可以被取代、刪除或改變順序,用於此方法的額外實施例。
在操作402,方法400使用上述極紫外線微影罩幕來使一或多個晶圓曝光。例如,可使用第1A圖的極紫外線微影曝光系統100來施行操作402,其中極紫外線微影罩幕108是用來使包括晶圓的一或多個基底116曝光。在各種曝光製程的過程中,粒子或汙染物可能會掉落在(或另外變成依附於)上述極紫外線微影罩幕的表面。例如,粒子可能會由光阻的釋氣(outgassing)形成。這些粒子可能會對上述曝光製程的映像的效果造成不良影響,並可能會導致在晶圓上形成的電路圖形產生缺陷。因此,必須移除這些粒子,例如藉由對上述極紫外線微影罩幕施加一或多道清潔製程。
在操作404,方法400檢查上述極紫外線微影罩幕的表面,以偵測其上的粒子。例如,可以使用一光學掃描檢查工具、一原子力顯微鏡(atomic force microscope;AFM)、一光罩對準與疊對量測工具(photomask registration and overlay metrology tool)或其他適當的檢查工具,來施行上述檢查。
如果操作404發現任何粒子,方法400會在操作406進一步將上述粒子的尺寸與一預設的尺寸閾值比較。如果上述粒子的尺寸等於或小於上述預設的尺寸閾值,則方法400進行操作408,以使用去離子水(deionized water;DIW)來清潔上述極紫外線微影罩幕。另一方面,如果上述粒子的尺寸大於上述預設的尺寸閾值,使用去離子水不再有效地將其移除,且方法400會在清潔上述極紫外線微影罩幕之前,進行操作410以使用比去離子水強烈的一藥劑(例如,具有比去離子水還要強烈的氧化能力)來處理上述極紫外線微影罩幕。
在操作410,方法400將一或多種化學元素引入上述極紫外線微影罩幕的表面,特別是上述極紫外線微影罩幕的一圖形化的吸收劑層的表面。操作410可以以相同(或相似)於前文討論過的操作308的形式來操作。例如,操作410可以使用一離子佈植機或一蝕刻機,例如藉由將氮電漿施加於上述極紫外線微影罩幕,將氮引入上述極紫外線微影罩幕的表面。在一特別的實施例中,操作410將氮引入上述極紫外線微影罩幕的一含鉭的吸收劑層中,而使此吸收劑層的一表面部中的N:Ta的比值變成大於或等於1.25。實驗顯示藉由將氮引入上述吸收劑層,上述吸收劑層在使用一強烈的清潔藥劑的後續的清潔製程中,會變得比較不容易被氧化。
在操作412,方法400使用比去離子水強烈的一藥劑(例如,具有比去離子水還要強烈的氧化能力)來清潔上述極紫外線微影罩幕。例如,上述藥劑可包括在較高溫(約90°C)或較低溫(遠低於90°C,例如20°C至30°C)的過氧化氫(H2 O2 )、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture),或是對於移除與操作404與406所述相同的大尺寸粒子有效的其他藥劑。由於上述極紫外線微影罩幕的各種表面已經在操作410中得到前處理,在操作412的過程中,這些表面具有可忽略的氧化速率。在未作操作410的處理的情況,上述極紫外線微影罩幕的一些表面可能會容易發生氧化,而降低上述極紫外線微影罩幕的映像品質。還有,隨著時間的進展,重複的氧化可能會使上述極紫外線微影罩幕無法使用。藉由操作410連結清潔的操作412的處理的效益在於,改善上述極紫外線微影罩幕的映像品質以及展延上述極紫外線微影罩幕的壽命。
在操作408或操作412中清潔上述極紫外線微影罩幕之後,方法400可以在操作402再度使用這個極紫外線微影罩幕來施行曝光製程。
以下敘述並無作任何限制的意圖。本發明實施例的一或多個實施形態對於一半導體裝置及其形成方法提供了許多效益。例如,本發明實施例的實施形態提供在罩幕遮罩製程的過程中或在罩幕處理的製程中對極紫外線微影罩幕進行處理的製程。上述處理製程增加了極紫外線微影罩幕抵抗各種清潔製程的耐久性,而未對極紫外線微影罩幕的映像品質造成不良影響。
在一例示的態樣中,本發明實施例是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,上述基底具有一低熱膨脹係數材料,上述多層反射層在上述基底的上方,上述蓋層在上述多層反射層的上方,上述吸收劑層在上述蓋層的上方。上述半導體裝置的製造方法還包括:施以第一圖形化,圖形化上述吸收劑層以提供對應於一晶圓上的電路圖形的複數個第一溝槽;以及施以第二圖形化,圖形化上述吸收劑層、上述蓋層與上述多層反射層,以提供對應於上述晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。上述半導體裝置的製造方法還包括:使用一或多個化學元素對上述極紫外線微影罩幕進行處理,以避免上述吸收劑層的曝露表面受到氧化。
在上述半導體裝置的製造方法的一實施例中,上述極紫外線微影罩幕的處理,包括對上述極紫外線微影罩幕施加氮電漿。在另一實施例中,以實質上等於零的偏功率(bias power)施加上述氮電漿。在又另一實施例中,上述吸收劑層包括鉭(Ta),且對上述極紫外線微影罩幕施加上述氮電漿而使在上述吸收劑層的一表面層中的N比Ta(N:Ta)的比值大於或等於1.25。
在上述半導體裝置的製造方法的一實施例中,上述一或多個化學元素包括氟。在一實施例中,上述半導體裝置的製造方法,更包括:使用上述極紫外線微影罩幕來使一或多個晶圓曝光;以及使用去離子水(deionized water;DIW)來清潔上述極紫外線微影罩幕。在另一實施例中,上述半導體裝置的製造方法,更包括:檢查上述極紫外線微影罩幕的表面;以及在發現上述極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用上述一或多個化學元素對上述極紫外線微影罩幕進行處理,並使用另一清潔藥劑來清潔上述極紫外線微影罩幕,上述另一清潔藥劑提供的氧化能力大於去離子水的氧化能力。在一實施例中,上述另一清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
在上述半導體裝置的製造方法的一實施例中,上述第一圖形化與上述第二圖形化各自包括:在上述工件的上方塗布一光阻層;使用電子束使上述光阻層曝光;使上述光阻層顯影以形成複數個光阻圖形;以及使用上述光阻圖形作為一蝕刻罩幕,對上述工件進行蝕刻。
在另一個例示的態樣中,本發明實施例是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,上述基底具有一低熱膨脹係數材料,上述多層反射層在上述基底的上方,上述蓋層具有釕且在上述多層反射層的上方,上述吸收劑層具有鉭且在上述蓋層的上方。上述半導體裝置的製造方法還包括:圖形化上述吸收劑層、上述蓋層與上述多層反射層,以提供對應於一晶圓上的電路圖形的複數個第一溝槽以及對應於上述晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕。上述半導體裝置的製造方法還包括:使用氮電漿對上述極紫外線微影罩幕進行處理。
在上述半導體裝置的製造方法的一實施例中,以實質上等於零的偏功率(bias power)施加上述氮電漿,而使上述蓋層實質上未被上述氮電漿改變。在另一實施例中,施加上述氮電漿的時間是在30秒至120秒的範圍、來源電壓是在350瓦特至1000瓦特的範圍、且壓力是在1 mtorr至10 mtorr的範圍。在又另一實施例中,以製造上述吸收劑層的一表面層並使上述表面層的N比Ta (N:Ta)的比值大於或等於1.25的方式,施加上述氮電漿。
在一實施例中,半導體裝置的製造方法,更包括:使用上述極紫外線微影罩幕來使一或多個晶圓曝光;檢查上述極紫外線微影罩幕的表面;以及在發現上述極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用第二氮電漿對上述極紫外線微影罩幕進行處理。
在另一實施例中,在使用上述第二氮電漿對上述極紫外線微影罩幕進行處理之後,更包括:使用一清潔藥劑來清潔上述極紫外線微影罩幕,上述清潔藥劑提供的氧化能力大於去離子水(deionized water;DIW)的氧化能力。在另一實施例中,上述清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
在又另一實施例中,在檢查上述極紫外線微影罩幕的表面以及在發現上述極紫外線微影罩幕未具有大於上述尺寸閾值的粒子的情況,更包括:使用去離子水(deionized water;DIW)來清潔上述極紫外線微影罩幕。
在又另一個例示的態樣中,本發明實施例是關於一種半導體裝置的製造方法。上述半導體裝置的製造方法,包括:使用一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕來使一或多個晶圓曝光;檢查上述極紫外線微影罩幕的表面;在發現上述極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用氮電漿對上述極紫外線微影罩幕進行處理;以及在使用上述氮電漿對上述極紫外線微影罩幕進行處理之後,使用一清潔藥劑來清潔上述極紫外線微影罩幕,上述清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
在一實施例中,在檢查上述極紫外線微影罩幕的表面以及在發現上述極紫外線微影罩幕未具有大於上述尺寸閾值的粒子的情況,更包括:使用去離子水(deionized water;DIW)來清潔上述極紫外線微影罩幕。
在上述半導體裝置的製造方法的一實施例中,上述極紫外線微影罩幕包括一吸收劑層,上述吸收劑層包括鉭(Ta);以及以使在上述吸收劑層的一表面層中的N比Ta (N:Ta)的比值大於或等於1.25的方式,施加上述氮電漿而使用上述氮電漿對上述極紫外線微影罩幕進行處理。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100‧‧‧極紫外線微影曝光系統
102‧‧‧輻射源
104‧‧‧輻射束
106‧‧‧聚光器
108‧‧‧極紫外線微影罩幕
110‧‧‧罩幕載台
112‧‧‧投影光學
114‧‧‧基底載台
116‧‧‧基底
205‧‧‧導體層
210‧‧‧基底
220‧‧‧多層反射層
230‧‧‧蓋層
240‧‧‧電路圖形區
250a‧‧‧頂表面部
250b‧‧‧側壁表面部
250‧‧‧吸收劑層
252、254‧‧‧溝槽
260、270‧‧‧光阻層
280‧‧‧化學元素
300、400‧‧‧方法
302、304、306、308、402、404、406、408、410、412‧‧‧操作
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1A圖是一極紫外線(extreme ultraviolet;EUV)微影曝光系統的圖解,此極紫外線微影曝光系統使用以本發明實施例的實施形態製作的一極紫外線微影罩幕。 第1B圖顯示根據一實施例的一極紫外線微影罩幕的剖面圖。 第2圖顯示根據本發明實施例的各種態樣的極紫外線微影罩幕的製造方法的流程圖。 第3A圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3B圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3C圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3D圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3E圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3F圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3G圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3H圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第3I圖顯示根據本發明實施例的各種態樣而在製造階段的一極紫外線微影罩幕的一實施例的剖面圖。 第4圖顯示根據本發明實施例的各種態樣的極紫外線微影罩幕的使用、清潔及處理方法的流程圖。

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,該基底具有一低熱膨脹係數材料,該多層反射層在該基底的上方,該蓋層在該多層反射層的上方,該吸收劑層在該蓋層的上方;施以第一圖形化,圖形化該吸收劑層以提供對應於一晶圓上的電路圖形的複數個第一溝槽;施以第二圖形化,圖形化該吸收劑層、該蓋層與該多層反射層,以提供對應於該晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕;以及使用一或多個化學元素對該極紫外線微影罩幕進行處理,以避免該吸收劑層的曝露表面受到氧化。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該極紫外線微影罩幕的處理,包括對該極紫外線微影罩幕施加氮電漿。
  3. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中以實質上等於零的偏功率(bias power)施加該氮電漿。
  4. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中該吸收劑層包括鉭(Ta),且對該極紫外線微影罩幕施加該氮電漿而使在該吸收劑層的一表面層中的N比Ta(N:Ta)的比值大於或等於1.25。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中上述一或多個化學元素包括氟。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括: 使用該極紫外線微影罩幕來使一或多個晶圓曝光;以及使用去離子水(deionized water;DIW)來清潔該極紫外線微影罩幕。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,更包括: 檢查該極紫外線微影罩幕的表面;以及在發現該極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用上述一或多個化學元素對該極紫外線微影罩幕進行處理,並使用另一清潔藥劑來清潔該極紫外線微影罩幕,該另一清潔藥劑提供的氧化能力大於去離子水的氧化能力。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中該另一清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
  9. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一圖形化與該第二圖形化各自包括: 在該工件的上方塗布一光阻層;使用電子束使該光阻層曝光;使該光阻層顯影以形成複數個光阻圖形;以及使用該些光阻圖形作為一蝕刻罩幕,對該工件進行蝕刻。
  10. 一種半導體裝置的製造方法,包括: 接收一工件,其包括一基底、一多層反射層、一蓋層與一吸收劑層,該基底具有一低熱膨脹係數材料,該多層反射層在該基底的上方,該蓋層具有釕且在該多層反射層的上方,該吸收劑層具有鉭且在該蓋層的上方;圖形化該吸收劑層、該蓋層與該多層反射層,以提供對應於一晶圓上的電路圖形的複數個第一溝槽以及對應於該晶圓上的一晶粒(die)邊界區的複數個第二溝槽,藉此提供一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕;以及使用氮電漿對該極紫外線微影罩幕進行處理。
  11. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中以實質上等於零的偏功率(bias power)施加該氮電漿,而使該蓋層實質上未被該氮電漿改變。
  12. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中施加該氮電漿的時間是在30秒至120秒的範圍、來源電壓是在350瓦特至1000瓦特的範圍、且壓力是在1 mtorr至10 mtorr的範圍。
  13. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中以製造該吸收劑層的一表面層並使該表面層的N比Ta(N:Ta)的比值大於或等於1.25的方式,施加該氮電漿。
  14. 如申請專利範圍第10項所述之半導體裝置的製造方法,更包括: 使用該極紫外線微影罩幕來使一或多個晶圓曝光;檢查該極紫外線微影罩幕的表面;以及在發現該極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用第二氮電漿對該極紫外線微影罩幕進行處理。
  15. 如申請專利範圍第14項所述之半導體裝置的製造方法,其中在使用該第二氮電漿對該極紫外線微影罩幕進行處理之後,更包括: 使用一清潔藥劑來清潔該極紫外線微影罩幕,該清潔藥劑提供的氧化能力大於去離子水(deionized water;DIW)的氧化能力。
  16. 如申請專利範圍第15項所述之半導體裝置的製造方法,其中該清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
  17. 如申請專利範圍第14項所述之半導體裝置的製造方法,其中在檢查該極紫外線微影罩幕的表面以及在發現該極紫外線微影罩幕未具有大於該尺寸閾值的粒子的情況,更包括: 使用去離子水(deionized water;DIW)來清潔該極紫外線微影罩幕。
  18. 一種半導體裝置的製造方法,包括: 使用一極紫外線微影(extreme ultraviolet lithography;EUVL)罩幕來使一或多個晶圓曝光;檢查該極紫外線微影罩幕的表面;在發現該極紫外線微影罩幕具有大於一尺寸閾值的粒子的情況,使用氮電漿對該極紫外線微影罩幕進行處理;以及在使用該氮電漿對該極紫外線微影罩幕進行處理之後,使用一清潔藥劑來清潔該極紫外線微影罩幕,該清潔藥劑包括過氧化氫、硫酸、氫氟酸或硫酸和過氧化氫混合物(sulfuric peroxide mixture)。
  19. 如申請專利範圍第18項所述之半導體裝置的製造方法,其中在檢查該極紫外線微影罩幕的表面以及在發現該極紫外線微影罩幕未具有大於該尺寸閾值的粒子的情況,更包括: 使用去離子水(deionized water;DIW)來清潔該極紫外線微影罩幕。
  20. 如申請專利範圍第18項所述之半導體裝置的製造方法,其中該極紫外線微影罩幕包括一吸收劑層,該吸收劑層包括鉭(Ta);以及 以使在該吸收劑層的一表面層中的N比Ta(N:Ta)的比值大於或等於1.25的方式,施加該氮電漿而使用該氮電漿對該極紫外線微影罩幕進行處理。
TW107137534A 2018-04-18 2018-10-24 半導體裝置的製造方法 TWI720357B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/956,189 US11048158B2 (en) 2018-04-18 2018-04-18 Method for extreme ultraviolet lithography mask treatment
US15/956,189 2018-04-18

Publications (2)

Publication Number Publication Date
TW201944167A true TW201944167A (zh) 2019-11-16
TWI720357B TWI720357B (zh) 2021-03-01

Family

ID=68236383

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107137534A TWI720357B (zh) 2018-04-18 2018-10-24 半導體裝置的製造方法

Country Status (3)

Country Link
US (2) US11048158B2 (zh)
CN (1) CN110389500B (zh)
TW (1) TWI720357B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9839946B2 (en) * 2015-02-04 2017-12-12 The Boeing Company System and method for high speed FOD detection
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US11215918B2 (en) 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
CN110986821A (zh) * 2019-12-16 2020-04-10 广东谨诺科技有限公司 一种透可见光且反紫外光工件影像测量仪
WO2022109169A1 (en) * 2020-11-20 2022-05-27 Entegris, Inc. Phase-shift reticle for use in photolithography
TW202246879A (zh) * 2021-02-09 2022-12-01 美商應用材料股份有限公司 極紫外光遮罩毛胚結構
CN114859675A (zh) * 2022-07-06 2022-08-05 上海传芯半导体有限公司 曝光成像结构、反射式光掩模版组及投影式光刻机

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
JP5369640B2 (ja) * 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
JP6129472B2 (ja) 2009-01-16 2017-05-17 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッドFujiFilm Electronic Materials USA, Inc. 半導体基板コーティングのための非ポリマーバインダー
JP2011108942A (ja) * 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
EP2511945A4 (en) * 2009-12-09 2014-09-03 Asahi Glass Co Ltd MULTILAYER MIRROR FOR EXTREME ULTRAVIOLET LITHOGRAPHY AND PRODUCTION METHOD THEREOF
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
US9535317B2 (en) 2014-12-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
DE102015108569B4 (de) 2015-05-29 2020-10-08 Advanced Mask Technology Center Gmbh & Co. Kg Reflektierende Fotomaske und Reflexionstyp-Maskenrohling
US10008559B2 (en) 2016-03-24 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process control in forming MIM capacitor
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment

Also Published As

Publication number Publication date
CN110389500A (zh) 2019-10-29
TWI720357B (zh) 2021-03-01
US20190324364A1 (en) 2019-10-24
US11048158B2 (en) 2021-06-29
US11906897B2 (en) 2024-02-20
US20210311383A1 (en) 2021-10-07
CN110389500B (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
TWI720357B (zh) 半導體裝置的製造方法
US8679707B2 (en) Method of fabricating a lithography mask
US6897157B2 (en) Method of repairing an opaque defect on a mask with electron beam-induced chemical etching
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US9146459B2 (en) Extreme ultraviolet lithography process and mask
US20210103210A1 (en) Mask and method of forming the same
US11960201B2 (en) Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
TW201527868A (zh) 空白光罩及其製造方法
TWI772645B (zh) 空白光罩、光罩之製造方法及光罩
US11735421B2 (en) Reflection mode photomask and method of making
JP4478568B2 (ja) 改良されたレチクルの製造のためにアモルファスカーボン層を使用する方法
US8323859B2 (en) Optical compensation devices, systems, and methods
US9995999B2 (en) Lithography mask
TWI754500B (zh) 反射式光罩坯體及其製造方法
US8916482B2 (en) Method of making a lithography mask
US9298085B2 (en) Method for repairing a mask
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
Zhang et al. Cr absorber mask for extreme-ultraviolet lithography