JP5243958B2 - マスク・レイアウトの設計する方法、該設計のためのプログラム、設計パラメータを伝達する方法、および、これらの方法を実現するプログラムならびにシステム - Google Patents

マスク・レイアウトの設計する方法、該設計のためのプログラム、設計パラメータを伝達する方法、および、これらの方法を実現するプログラムならびにシステム Download PDF

Info

Publication number
JP5243958B2
JP5243958B2 JP2008535640A JP2008535640A JP5243958B2 JP 5243958 B2 JP5243958 B2 JP 5243958B2 JP 2008535640 A JP2008535640 A JP 2008535640A JP 2008535640 A JP2008535640 A JP 2008535640A JP 5243958 B2 JP5243958 B2 JP 5243958B2
Authority
JP
Japan
Prior art keywords
layer
design
band
tolerance
constraint
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008535640A
Other languages
English (en)
Other versions
JP2009511988A (ja
JP2009511988A5 (ja
Inventor
マンスフィールド、スコット、エム
リーブマン、ラーズ、ダブリュー
グラウアー、イオアナ
クラスノペロバ、アザリア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009511988A publication Critical patent/JP2009511988A/ja
Publication of JP2009511988A5 publication Critical patent/JP2009511988A5/ja
Application granted granted Critical
Publication of JP5243958B2 publication Critical patent/JP5243958B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は、集積回路の製造と、集積回路の製造に用いられるリソグラフィ・マスクを設計するための方法とに関する。より具体的には、本発明は、リソグラフィ・マスクを設計する際に用いられる許容バンドを得るための方法に関する。
所望のウェハ・レベルのスケーリングは、リソグラフィ設備の改良によってもたらされるペースより速い速度で行われ続けているため、リソグラフィ技術者は、イメージ解像度の低下に基づくパターン形成方法を講じなければならない。マスクからウェハにパターンを転写するリソグラフィ・プロセスは、プロセスの非線形性を含んでおり、長時間にわたって非線形性が安定する堅牢なマスク−ウェハ・リソグラフィ・プロセスを作り出すことは、リソグラフィ技術者の責務である。リソグラフィ技術者にかかる負担を軽減するのを助けるために用いられる技術の1つは、プリント(転写)できるパターンの種類をいずれかの所与のレベルに制限することである(非特許文献1)。これによって、リソグラフィ・プロセスがより容易に最適化できるようになる。残念ながら、この制限された設計ルールを用いても、負担がリソグラフィ技術者から設計者に移されただけに過ぎず、今度は極めて制限された環境においてレイアウトを再設計するという困難な課題が設計者に残される。リソグラフィ技術者にとって、1)どのような設計の幾何学的形態のプリントが要求されるか予測すること、及び、2)各々の幾何学的形態がどの程度良好にウェハにプリントされるか知ることは非常に困難であるため、リソグラフィ技術者は、設計者に対して厳格な制限を課そうとすることが多くなる。このようにして、リソグラフィ技術者は、比較的種類の少ないパターンが全て、十分なプロセス許容度を以ってプリントされることを保証することができる。この欠点は、設計者は、大きな制限を受けているため、設計をスケーリングする意味がもはやない場合がある点であり、リソグラフィ技術者は、問題なくプリントできる幾何学的形態を頻繁に却下している点である。この問題は、設計者とリソグラフィ技術者とが、互いの要求を理解せず、必要な情報伝達をより容易に行うことができる共通の用語を持たないことが多いという事実によって、悪化させられる。
このような行き違いを解決し、妥協的な解決策を見出す試みをするのは、光近接効果補正(OPC)技術者の役目である。OPC技術者の役目は、プロセスの非線形性を説明するために、マスク作成の前に設計形状を修正することである。リソグラフィ技術者の要求は、プロセス・モデルとプロセス要求の組とを通してOPC技術者に伝達されることが多い。次いで、OPC技術者は、リソグラフィ・シミュレーション・ソフトウェアを用いてリソグラフィ・プロセスをシミュレートし、それによりリソグラフィ・プロセスを極めて詳細に理解することができる。OPC技術者は、幾何学的形態がリソグラフィにおいて問題を引き起こすかどうかを判断するのに必要な全てのツールを有するが、設計者がこうした幾何学的形態を使用しないようにするには、やはり、設計ルールが制限されなければならない。
さらに、これまでのOPCの焦点は、公称イメージ処理条件(nominalimaging conditions)の下で、設計されたレイアウト・パターンを正確にウェハ上に複製することであった。リソグラフィは、根本的な解像度限界に近づきつつあるため、公称条件におけるパターン形成の正確性と、プロセス変動の範囲にわたるパターン形成の堅牢(ロバスト)性との間でバランスを取ることが、ますます重要となっている。一般にプロセス・ウィンドウの最適化と呼ばれるが、その目的は、許容可能なイメージ許容領域を維持することができるドーズ(照射)及びデフォーカス(焦点ボケ)の範囲を最大化することである。OPCプロセス・ウィンドウ(例えば、Ferguson他に付与された特許文献1及び非特許文献2を参照されたい)の鍵は、設計者からリソグラフィ技術者に許容可能なイメージ許容領域を伝達する正確かつ効率的な手段である。予測されるパターン形成結果に関するデバイス及び回路のシミュレーションを行うことによって許容可能なイメージ処理を判断することは、理論的には考えられることであるが(非特許文献3)、このような技術は、実際のOPCにおいて必要な高速の幾何学的形態操作、又は、大規模集積回路の設計に関するモデルベースのプロセス・ウィンドウ解析には向かない。したがって、複雑な設計レベル内の従属(依存)関係と設計レベル間の従属関係とを効率的に捕捉しつつ、設計者の意図と許容可能なイメージ許容領域とをリソグラフィ技術者に幾何学的に伝達することが望ましい。
現在は、設計者は、既に要求の多くを、設計層の組を通してOPC技術者に伝えている。典型的には、各々の設計層は、論理的な形状群、例えば、構造体(フィーチャ)の組をウェハにイメージ処理(画像を描く)及び/又はプリント(転写)するのに用いられるリソグラフィ・マスクに概ね対応する形状群を含む。例えば、1つの層は活性領域に対応する形状を表すことができ、別の形状層はポリシリコン・ゲート導体ラインなどの導電性ラインに対応し、さらに別の層はコンタクト形状に対応するなどである。しかしながら、「層」という用語は、ウェハ上の物理層に限定されず、平面上のあらゆる論理的な形状群も指すことができる。したがって、ウェハ上の物理層又は特定のマスク設計は、多数の論理「層」上に存在する形状に対応するものとすることができる。現在は、これらの層は独立して処理されており、OPCは、最終的なウェハ・プリントにおいて層の各々を厳密に複製することを必要とする。設計のどの範囲が他のものより重要であるかを判断しようとするために、幾つかのレベル間チェックが行われるが、このチェックは、極めて限定的なものであり、極めて初歩的なものである。OPC技術者は、設計を作図された通りに正確に複製しなければならないため、層間の関係に含まれる付加的な情報は、一切使用されないこともあり得る。しかしながら、OPC技術者は、機能を変更することなく設計を自由に調整することができれば、リソグラフィに最適な幾何学的形態を決定する能力を持つことになる。このようにして、リソグラフィ・プロセスにおいて問題を生じさせる全ての条件を取り除きつつ、設計者が所望する機能を達成することが可能である。
米国特許第6,578,290号 Liebmann etal., High-Performance Circuit Design for the RET-enabled65nm Technology Node,Design and Process Integration for Microelectronic ManufacturingII, Proc. SPIE, Vol.5379, 2004, pp.20-29 Lugg etal., Adaptive OPC with a conformal target layout, Proc.SPIE,Vol.4691,p.1091-1096, Optical Microlithography XV, July, 2002 Balasinskietal., Impact of subwavelength CD tolerance on deviceperformance, Proc. SPIE,Vol.4692, p.361-368, Design, Process Integration, and Characterizationfor Microelectronics, July, 2002
上記に鑑みて、半導体産業においては、マスク・レイアウトの最適化を可能にし、回路設計ルールを不必要に制限することなく最大のプロセス・ウィンドウを達成する、リソグラフィ・マスクを設計するための改善された方法を提供する必要性が存在する。
本発明は、各々のマスク層ごとに、ウェハ上にプリント(転写)される縁部について許容可能な位置を表すバンド(帯域)を生成する。これらのバンドは、対象とする層におけるパターンについて、設計者の意図する設計の電気的機能及び特性を伝達するためのものである。この意図された機能は、現在の層と、該現在の層と幾何学的に若しくは機能的に相互作用するか又は現在の層に影響を与える層との両方を検査することによって、決定される。現在の層についての許容領域(toleranceregion)又は許容バンド(toleranceband)は、隣接する層からの制約と、対象とする現在の層内における制約とを明らかにすることによって、決定される。その最も単純な形態においては、隣接する層からの制約は、層間の重なり許容領域(overlaytolerances)と層内の寸法の変動許容領域(variation tolerances)とを考慮することによって、決定することができる。隣接する層におけるこれらの変動が明らかにされると、現在の層は、適切な交差ルール又は分離ルールを順守しつつ残りの空間全体を占有することができるようになる。許容バンドのさらなる層内レベルの制限もまた、所望の電気的性能が満たされ、マスク製造の制約が守られ、パターンの保全性が次のプロセス・ステップ(例えばエッチング)を通じて保持されることを保証することが必要とされる。次いで、モデルベースの光近接効果補正(MBOPC)又は(光学的ルール・チェック(ORC)としても知られる)OPC後の検証にこうした許容バンドを用いて、所望のパターンが許容可能な忠実度でウェハ上にプリントされるかどうかに関するフィードバックを提供する。ORC及びMBOPCステップは、縁部の配置の分布を見つけて、これらの分布と所望の許容バンドとを比較するために、プロセス変動を組み込むことができる。最後に、形状寸法又は面積(エリア)のさらなる解析のために、算出された縁部の分布を形状に変換することができる。このようにして、設計者の意図する電気的特性のさらなるチェックを行うことが可能となり、他の歩留まり解析を実施することが可能となる。
本発明の1つの態様によれば、マスク・レイアウトを設計する方法であって、二次元平面上に配置された構造体を含み、第3の次元に沿って互いに位置合わせされた、複数の設計層を含む回路設計を準備するステップと、基板上に形成される重要な構造体を含む複数の設計層のうちの選択された1つの設計層を特定するステップと、選択された設計層とは異なる複数の設計層のうちの1つの設計層における影響を与える構造体と関連する制約領域を特定するステップであって、影響を与える構造体は重要な構造体と相互作用し、制約領域は1つ又は複数の制約と関連する、ステップと、重要な構造体と関連する許容バンドを決定するステップであって、許容バンドは、重要な構造体が基板上に形成されたときに所定の基準を満たす領域を定めるものであり、制約領域と関連する1つ又は複数の制約に従って制約される縁部を含む、ステップと、を含む方法が提供される。本方法は、コンピュータ・プログラムとして実装することができる。本発明のさらに別の態様においては、本方法は、本発明の方法によって形成された許容バンドを、リソグラフィ技術者、OPC技術者、又はマスク製造者に提供することによって、回路設計者の意図を定めるか又は配信するサービスを提供するステップを含むものとすることができる。
幾つかの図面にわたり同一要素は同一の参照符号を付される例示的な図面を参照する。
これより、本明細書に添付された図面に関連して以下の記載を参照することにより、本発明がより詳細に説明される。本明細書の図面は、説明を目的として提供されており、したがって、縮尺通りに描かれていないことに留意されたい。
本発明は、ある形状のプリント(転写)された縁部が設計された形状の縁部とは異なる場合があり、それでもなお性能仕様及び製造性仕様などの種々の仕様を満たすことができるバンド又は領域である、許容(トレランス)バンドの生成を対象とする。本発明によれば、ICレイアウトの所与の物理層における形状の縁部についてのこうした許容領域の最大及び最小の境界は、プロセス条件に基づく重なり許容領域及び幾何学的形態の制約などの要因を含むがこれらに限定されるものではない、他の層における構造体との相互作用によって、制約される。このような許容バンドは、パターンの縁部の配置について有効な位置を決定する一連の層間及び層内チェックを用いて、生成することが可能である。
本発明の1つの好ましい実施形態が図1に示され、説明される。本発明のフローは、設計における最も重要な(クリティカル)層i=1,...,N(ブロック101)について実施される。典型的には、N=4又は5の最も重要な層が存在することになる。例えば、重要な層は、例えば回路の性能に直接的な影響を与えるポリシリコン・ゲート、コンタクト、及び金属配線の寸法及び位置といった、集積回路全体の性能にとって重要であることが好ましい形状を含む層とすることができる。好ましくは、最も重要な層は、回路全体の性能に最も多大な影響を与える層として選択される。対象とする重要な層の各々について、対象とするi番目の層の許容領域に対して影響を与える対応する制約層j=1,...,Mが決定される(ブロック102)。一般に、これらの制約層は、対象とするi番目の重要な層に接する層又は物理的な影響を与える層である。幾つかの場合においては、対象とする層とは物理的に接しない他の層も制約層として含まれることがある。これは、例えば、2つの層の間に容量結合の可能性が存在する場合である。対象とする重要な層の解析のために考慮しなければならない層は、対象とする層についての「制約層」と見なされる。
j番目の制約層ごとに(ブロック103)、そのj番目の制約層についての許容バンドが既に形成済みであるかどうかを調べるためにチェックが行われる(ブロック104)。これは、例えば、以前に処理された層に関する情報を一時ストレージ・エリア又はローカル・キャッシュ(ブロック113)に一時的に記憶することなどといった、現在公知であるか又は将来開発されるいずれかの方法によって、行うことができる。その制約層について許容バンドが以前に形成されていない場合には、例えば、その層についての公称プロセス・バイアス、クリティカル(限界)寸法(CD)許容領域、及び電気的許容領域を用いて(ブロック105)、その制約層についての公称許容バンドが生成される。次いで、この例においては、対象とするi番目の層の許容バンドに対する制約として、j番目の制約層の各々の許容バンドの内側境界及び外側境界を用いることができる。他の場合においては、以下でより詳細に説明されるように、制約層の許容バンドの異なる領域内において、異なる制約を定めることができる。j番目の制約層の各々について許容バンドが生成されると、他の対象とする層に用いるために、これらをローカル・ストレージにキャッシュすることができる(ブロック113)。制約層についての許容バンドは、次いで、リソグラフィ重なり許容領域、プロセス統合スキームに基づく付加的な幾何学的形態の制約(例えば側壁スペーサ)、及びさらに層間のあらゆる容量制約を含む、幾つかの構成要素を有する場合がある重なり許容領域に加えることによって、「制約バンド」に変換される(ブロック106)。制約バンドと許容バンドはいずれも、設計された構造体の形状の公称縁部を囲むことが一般的である外側縁部と内側縁部とを有する閉鎖された形状である。
M個の制約層の全てが、対象とするi番目の層について算出された制約バンドを有するようになると(ブロック107)、例えば、M個の制約層の制約バンドを用いてi番目の層の構造体についての許容バンドを生成させ、対象とするi番目の層の構造体についての許容バンドの広がり(例えば、最大の外側境界)を制限することにより、対象とするi番目の層についての許容バンドを決定することができる。これは、対象とするi番目の層の設計された形状およびM個の制約層上の形状からの制約バンドのコピーに関する種々のルールベース操作を用いて、達成することができる。
本発明の方法の1つの例示的な実施形態は、例えば、初期形状の一時的なコピーを適切な制約バンドと同じ平面上に作成し、次いでそれらの初期形状の縁部が制約バンドの外側縁部に接するまで初期形状の境界を拡張することによって、対象とする層についての設計された形状から開始することを含む(ブロック108)。こうした外側の許容領域縁部の広がりをさらに制限するために、電気的ルール及び製造性(すなわち、製造しやすい設計、DFM(designfor manufacturability))ルールを付加的な制約として適用してもよい(ブロック109)。
同様に、設計された初期形状もまたコピーされ、制約バンドの縁部に接するまで縮小される。対象とするi番目の層とj番目の制約層との間の制約に応じて、形状は、制約バンドの外側縁部又は内側縁部のいずれかに当たるまで縮小される。次いで、電気的制約ルール及びDFM制約ルールを適用して、対象とするi番目の層の形状の内側許容領域縁部をさらに制限することができる。次いで、結果として得られるi番目の層についての許容バンドは保存され(ブロック114)、例えば、その後のOPC処理における対象とするi番目の層についての許容層として残される。結果として得られるi番目の層の許容バンドは、例えばi番目の許容バンド層をローカル・キャッシュに保存することによって、対象とする次のi+1番目の層についての制約バンドの生成の際に考慮することもできる。
次いで、対象とするi番目の層について説明されたプロセス(ブロック101−109)が、解析される残りの対象とする層の全てについて繰り返される(ブロック111)。対象とするN個の層の全てについての許容バンドが算出されると、許容バンドの生成は完了する(ブロック112)。
論理回路設計の例示的な一部に関するこのプロセス・フローの結果の一例が、図2〜図7に示される。例えば、図2を参照すると、図2は、この例においてはゲート導体を表す形状を含むポリシリコン導体(PC)又はポリ・ゲート層形状200a−200dを含むものであるi番目の重要な層200について、回路レイアウトの一部200を上から見た図を示す。PC構造体をどのようにプリントするかを決定するための最も重要な層は、図2のi番目の層についての制約層として機能し、図3に示される活性エリア(RX)199a−199cを表す形状を含んでいるj番目の層199の対応部分を含む。この例においては、PC層200の構造体200a−200dは、活性エリア層199の構造体と重なり、これらと接する。図4に示されるj+1層203は、ウェハ上にプリントされたときにPC層200又はRX層199の構造体に物理的に接する構造体を表しているコンタクト・エリア(CA)203a−203fを表す形状を含む。
本発明の1つの実施形態によれば、重なり許容領域と公称CD許容領域とを組み込んだRX層及びCA層の形状に基づいて、制約バンドが生成される。図5を参照すると、RX層199のRX構造体199a−199cに基づく制約バンドは、制約形状199’のj番目の層として生成される(図3を参照されたい)。この例においては、内側の制約境界199’ai、及び、外側の制約境界199’ao−199’coは(ここで、表示記号「i」は内側の制約境界を示し、「o」は外側の制約境界を示す)、それぞれ、対応する活性エリアの構造体(例えば、図3の199a−199cのそれぞれ)をウェハ上にプリントして仕様を満足することができる、許容領域の内側限界と外側限界とを表す。これは、典型例においては公称の約10%である構造体のプリント寸法と、公称設計位置に対してプリントされるパターンの相対的な位置を示す重なり許容領域との両方を含む。許容バンドは、例えば、処理中に遭遇する側壁スペーサなどの他の構造体の存在を明らかにするために、又は、デバイスのバイアス調整を行うために、他のプロセス・ステップを明らかに(考慮)することもできる。RXがPC配線と交差しない場合には、RX許容バンドは幅503を有する。しかしながら、RXとPC配線とが交差する場合には、トランジスタ・ゲートに必要な厳密な制御を考慮するために、より厳密なCD許容領域、即ち公称RX幅503より狭いRX許容幅501が、PC配線(図2のPC層200から重ねられた、PC幅201を有する点線の形状200a)に近いRX領域199’aに配置される。より厳密なCD制御が必要なRX許容領域は、(例えば、公称PC幅201に沿って)PCがRXとどこで交わるかを見出し、次いで、そのRX許容領域を、例えばPC CD許容領域にPCとRXとの重なり交差を加えたものを含む付加的なRX許容領域延長部502によりPC幅方向に沿って拡張することによって、決定される。
別のj+1制約層203’が図6に示されており、ここでは、CA層203のCA構造体203a−203fに基づく制約バンドが、制約形状203’のj番目の層として生成される(図4を参照されたい)。この例においては、外側制約境界203’ao−203’foは、対応するコンタクト構造体(例えば、図4の203a−203fのそれぞれ)をウェハ上にプリントして仕様を満足することができる、許容領域の外側限界を表す。内側制約境界203’ai−203’fiは、コンタクトがPC配線に対して適切な導電性を有するために必要なコンタクト構造体203a−203fの最小面積を表し、CD交差及び重なり許容領域を考慮に入れたものである。したがって、コンタクト構造体203a−203fの内側制約境界203’ai−203’fiは、プリントされたPC形状に囲まれなければならない。
この例においては、制約層Mの数は2であり、即ち、CA層203に対応するCA制約層203’と、RX層199に対応するRX制約層199’である。本実施形態によれば、制約バンド又は境界を形成した(図1のブロック108)後で、M個の制約層(例えば、層203’及び199’)の制約に従って、この例ではPC層200である対象とするi番目の層の許容バンドが形成される。例えば、これは、CA層203’及びRX層199’に対応する制約形状及び境界とPC層200の形状とを同じ平面上に重ね合わせる(スーパーインポーズする)ことによって、行うことができる。
図7を参照すると、この場合においては、PC許容層200’上にPC許容バンドを生成するための初期形状として、PC形状200a−200dが用いられる。説明を目的として、RXの外側制約境界199’ao、199’bo、及び199’coは、PC層200の形状200a−200dと同じ平面200’上に重ね合わされている。本発明によれば、異なる制約又はルールを許容バンドの形成に適用することができる。例えば、RX層の上に載らないPC配線形状の部分について許容バンドの外側境界を形成するためには、PC形状の縁部を外方に拡張すればよい。例えば、PC形状200b、200c、200d、及び200aの一部(具体的には、縁部セグメント70−71、70−73、73−72、77−76、及び74−75)は、RX領域と交差しない。したがって、RXの外側にあるPC形状の対応する縁部、具体的には、形状200b、200c、200d、及びPC形状200aの縁部セグメント70−71、70−73、73−72、77−76、及び74−75は、1)例えば、図9を参照して、PC外側許容領域境界200’coと200’boとの間の距離715などの、別のPC形状の製造制約内に入るか、2)例えば、RX制約境界199’aoの下側縁部713などの、RX制約バンドの外側境界に当たるか、又は、3)例えば電気的制約に基づくか若しくは最大配線幅708(図9を参照されたい)に基づくものとすることができる最大寸法に達するか、のいずれかになるまで、矢印701によって示されるように外方に拡張することができる。
RX制約バンドの外側境界内においてRX制約領域と交差するPC形状の部分については、PC許容バンドは、最小許容可能ゲート長に基づく所定の量711(図9を参照されたい)によって厳密に制約される。例えば、RXを横切るPCにおける厳密な許容領域は、小型トランジスタのゲート長(典型的には、公称ゲート長は公称PC幅201に等しい)と関連するリーク電流を制御及び最小化し、ゲート長エラーによって引き起こされるトランジスタ速度の変動を制御及び最小化するためのものである。例えば、PCの幅が小さすぎる場合には、トランジスタのリーク電流は高くなりすぎるであろう。一定のデバイス速度を維持するためには、PC幅(即ちゲート長)をうまく制御することが一般に好ましく、即ち、チップ全体にわたってPC幅が著しく変動する場合には、トランジスタの速度も同様にチップ全体にわたって変動することになる。この例においては、点71−点77の間及び点72−点74の間において外側制約境界199’aoと交差するPC形状200aの縁部は、このような厳密な制約711を受ける。
一方、図9を参照すると、PC配線はRXと交わっておらず、PC配線許容バンドの内側境界200’ai、200’bi、200’ci、及び200’diは、製造制約即ち最小許容可能配線エラー709に基づく最小値に設定され、その結果、PC配線は細くなりすぎることはない。
図8を参照すると、CA制約層203’から重ね合わされたCA制約境界203’ai−203’fiと203’ao−203’foとを含むPC許容平面又は層200’が示される。ここでは、図7において示される重ね合わされたRX制約境界は、分かり易くするために示されていないが、本発明に従ってPC層許容バンドを決定するために、重ね合わされたCA制約境界と共に用いられる。この例においては、内側CA制約境界203’ci及び203’fiは、PC形状200cと重なるように設計され、CA境界203’di及び203’eiは、PC形状200dと重なるように設計される。内側制約境界203’ci、203’fi、203’di、203’eiは、それぞれ、プリントされるPC形状200c、200dによって囲まれなければならない最小CAエリアを定める。したがって、PC形状200c、200dについての許容バンドの内側縁部は、それぞれ、内側制約境界203’ci、203’fi、及び、203’di、203’eiに侵入してはならない。したがって、この例においては、PC許容バンドの内側縁部200’ci及び200’diは、関連するCAエリアを囲む、図9に示される「ハンマーヘッド」形状719を含む。
本発明によって形成される、結果として得られるPC交差バンド層200’は、図9において示される。交差バンド200’a、200’b、200’c、及び200’dは、それぞれ、PC形状200a、200b、200c、及び200dに対応する。PC形状200aと関連する、結果として得られる交差バンド200’aは、RXの外側制約境界199’aoの外で延びるPC形状200aの部分についてはより広いが、この境界の内側では、PC許容バンド200’aは、最小許容可能PCゲート・エラー(誤差)711に適合する。PC形状200bについては、対応する交差バンド200’bは、最小製造可能配線エラー709に適合すると共に、最大PC配線エラー708にも適合する内側境界200’biを有する。PC許容バンドの外側境界は、外側境界200’bo及び200’doの切り欠き部717によって示されるように、RX領域199’ao、199’bo、及び199’coには侵入しない。PC形状200c及び200dについての許容バンドの場合には、結果として得られる許容バンドは、広げられた内側許容領域719によって示されるように、プリントされるPCによって覆われなければならない最小CAエリアを強制すると共に、最小製造可能配線エラー709に適合する。さらに、PC形状は、互いに最小許容距離715内に侵入することはない。
本発明は、上述の実施形態において示された直交する形状に限定されない。直交する多角形又は直角多角形は、典型的には、製造のしやすさの理由から用いられる。しかしながら、処理中には、結果として得られるイメージは、直交する幾何学的形態を持たないことが知られている。設計者は、電気的モデルを構築するときに、イメージ処理・プロセスによって得られる予想される形状に関して仮定を行うことができる。例えば、図10を参照すると、CAコンタクト810についての電気的シミュレーションは、コンタクトが正方形として描かれていても円形であると仮定する。予想されるプリント形状をより忠実に表す、より正確な許容バンドを得るためには、例えば全ての重要な形状について、設計された直交形状の角部を平滑化する又は丸めることが望ましい場合もある。例えば、コンタクト制約バンドは、面取りしたCA812のような、より平滑化された形状によって表される方がよい場合もある。あるいは、平滑化カーネルを用いて、設計された形状を事前コンボルーション(重畳積分)を行う(pre-convolve)ことが望ましい場合もある。制約バンド又は許容バンドにおいて実際のプリント形状をより忠実に表すためには、他の平滑化操作が適している場合もある。この例においては、PC配線許容バンドは、最小の面取りしたCA制約バンド812を取り込む又は囲むことが必要とされる。
重なり、CD、又は他のプロセスの変動の統計的な性質を考慮することが望ましい場合もある。この場合においては、2つの層間の重なり変動のために確保される領域は、このような統計を考慮するように修正することができる。例えば、図11を参照して、外側境界905’を有する公称RX形状905の一部を横断する公称PC形状290の一部を考える。ここでは、RXCD許容バンド910の外側縁部の一部は、境界910’によって表される。RX−PC重なり許容領域920の対応する外側境界は、境界線920’によって表される。RX形状905の内側の範囲内においては、PC許容バンドは、RXCD制約バンド905内の厳密なゲート許容領域711に厳密に制約される。しかしながら、RXCD許容バンドの外側905’からRX−PC重なり許容領域の境界920’までの距離が増すにつれて、PC形状及びRX形状の重要部分は、予想されるプロセス変動の範囲内でプリントされる可能性は小さくなる。したがって、PC許容バンド291は、RXCD許容バンドの外側境界910’からの距離が増すにつれて、より緩和される、即ちより広くなる。このようにするための1つの手法は、隣接する層と重なる領域を横切る各々の層についての許容バンドにテーパを付けることである。このようにすることで、許容バンドは、重なり変動が最も起こりやすい領域においては最も厳密であり、重なり変動がより起こりにくい領域においてはより緩和される。例えば、内側境界291’iと外側境界291’oとを有するPC許容バンド291は、外側RX−PC重なり境界920’とRXCD制約バンド910の外側境界910’との間のRX−PC重なり許容領域920において、RX CD制約バンド910内の厳密なゲート許容領域711と、より緩和された配線許容領域709及び708との間で、テーパを付けることができる。重なり許容領域920を横切る真っすぐな直線状テーパ以外に、代替的なテーパ付けの方法を用いることもできることが分かる。
本発明の方法の代替的な実施形態も考えられる。図12においては、図1の方法を実施する前に、付加的なステップを追加することができる。例えば、所与の設計についてのMBOPC補正が、設計者の意図を実現することが最も容易なレベルから始まり、最も困難なレベルに向かって移行しながら計算される場合には、より困難なレベルに関して付加的な許容領域が得られる可能性がある。この実施形態においては、交差バンドの生成前に、対象とする各々の層をプリントする際の相対的な難度が最も容易なものから最も困難なものまで順位付けされる(ブロック501)。例えば、大きなプロセス・ウィンドウを有する層の場合には、厳密な許容領域を達成することはより容易である。次いで、層は、優先順位の順番に処理され(ブロック502)、その結果、相対的に容易な層が最初に処理される。幾つかの場合においては、これによって、困難な層をより容易な層の周囲に埋めるためのより多くの空間が得られることになる。
本発明の方法の別の代替的な実施形態が図13に示されており、ここでは、図1の実施形態に付加的なステップが追加されている。対象とする現在の層についてシミュレートされた寸法限界(dimensionalbound)を記憶して、他の隣接する層についての制約バンドを生成するのに用いることができる。このようにして、所与の層についての幾何学的形態が、その層についてのCD許容領域より優れたCD制御を示す場合には、この改善された制御を利用して、その後の層に関してより多くの変動を許容できるようにすることが可能である。この実施形態においては、対象とする層についての許容バンドが決定されると、その層は直ちに、モデルベースOPC(MBOPC)、サブ解像度補助フィーチャ(SRAF:sub-resolutionassist feature)の配置といった、その層についての標準的なデータ準備(DP)が実施される。シミュレートされた結果は、シミュレートされた寸法限界を生成するために種々のプロセス条件の下で解析される(ブロック601)。次いで、これらのシミュレートされた寸法限界は、対象とする層についての許容層における形状に変換され、その層についての新たな許容バンドが生成される(ブロック602)。
本発明の方法は、コンピュータ・プログラムの命令として、又は、コンピュータ・システムの一部として、実装することができる。例えば図1、図12、又は図13に示されるような命令を実行することができる中央演算処理装置(CPU)1201を含む、本発明の方法を実装するように構成されたコンピュータ・システム1200の一例が、図14に示される。CPU1201は、キーボード、マウス、又はリーダを含むがこれらに限定されない入出力装置1202と、モニタなどのディスプレイ装置1206と、本発明の方法を実施するための命令を含むコンピュータ・プログラムを収容したリムーバブル・コンピュータ可読ストレージ・メディア1205を含むものとすることができる1つ又は複数のストレージ装置1204、1203と、に接続することができる。代替的に、コンピュータ・プログラム又は命令の全て又は一部は、通信リンク1208を介してCPU1201又はストレージ装置1204、1203と遠隔装置又はシステム1210との間で情報を送受信することができる、有線装置又は無線装置を含むがこれらに限定されない通信装置1207を通して命令を受信することによって、CPU1201に提供する、及び/又は、1つ又は複数のストレージ装置1203、1204に記憶することができる。遠隔装置又はシステム1210は、例えば、リソグラフィ・マスクを製造するためのツールとするか、又は、マスク設計が製造されるマスク工場に配置することができ、本発明の方法によって開発される、結果として得られるマスク許容領域又はマスク設計が、そのマスク工場に送信されるようにすることもできる。
あるいは、本発明によって開発される許容バンド及び制約バンドは、例えば、通信リンク1208を介して又はリムーバブルメディア1205によって、コンピュータ・システム1200を用いて、リソグラフィ技術者又はOPC技術者がマスクの設計に用いるための設計サービスとして提供することができる。本発明によって開発される許容バンド及び制約バンドは、また、OPCツール、マスク設計検証ツール、タイミング解析ツール、及び/又は電気的検証ツールを含むがこれらに限定されない、種々のソフトウェア・ツールへの入力として提供することができる。
本発明は、その好ましい実施形態に関して具体的に示され、説明されたが、当業者であれば、本発明の趣旨及び範囲から逸脱することなく、形態及び細部における上記の及び他の変更を行うことができることが分かるであろう。したがって、本発明は、説明され図示された正確な形態及び細部に限定されるのではなく、特許請求の範囲内にあることが意図されている。
本発明の構造体及び方法は、集積回路の製造に有用であり、より具体的には、集積回路の製造に用いられるリソグラフィ・マスクの設計に有用である。さらに具体的には、本発明は、リソグラフィ・マスクの設計に用いられる許容バンドを得るための方法に関する。
本発明の方法の1つの実施形態を示すフロー図である。 対象とする設計層の構造体のレイアウトを示す平面図である。 対象とする層の構造体に影響を与える第1の影響設計層の構造体のレイアウトを示す平面図である。 対象とする層の構造体に影響を与える第2の設計層の構造体のレイアウトを示す平面図である。 対象とする層の構造体に影響を与える第2の設計層上の図3の構造体と関連する制約領域を示す平面図である。 対象とする設計層の構造体における制約を含む、対象とする層の構造体に影響を与える第1の設計層上の図4の構造体と関連する制約領域を示す平面図である。 第1の影響設計層上の図3の構造体と関連する図6の制約領域によって制約される、対象とする設計層の構造体と関連する許容バンドの形成を示す平面図である。 第2の影響設計層上の図4の構造体と関連する図5の制約領域によって制約される、対象とする設計層の構造体と関連する許容バンドの形成を示す平面図である。 第1及び第2の影響層の制約領域と、CD制約、製造性制約、又は、対象とする設計層における制約によって制約される、対象とする設計層の構造体についての、結果として得られる許容バンドの形成を示す平面図である。 制約領域の平滑化された形態を示す平面図である。 制約領域内において制約が異なるときに形成される許容バンドの平面図である。 本発明の方法の代替的な実施形態を示す概略図である。 本発明の方法の別の代替的な実施形態を示す概略図である。 コンピュータ・プログラム、コンピュータ・システム、及び、結果として得られる許容バンドをエンド・ユーザに配信する方法を含む、本発明の方法を実施するためのシステムの概略図である。

Claims (7)

  1. マスク・レイアウトを設計する方法であって、
    二次元平面上に配置された構造体を含み、第3の次元に沿って互いに位置あわせされた、複数の設計層を含む回路設計を準備するステップと、
    基板上に形成される重要な構造体を含む前記複数の設計層のうちの選択された1つの設計層を特定するステップと、
    前記選択された設計層とは異なる前記複数の設計層のうちの1つの設計層における前記重要な構造体に影響を与える構造体と関連する制約バンド決定するステップであって、前記影響を与える構造体は前記重要な構造体と相互作用し、前記制約バンドは1つ又は複数の制約と関連する、ステップと、
    前記重要な構造体と関連する許容バンドを決定するステップであって、前記許容バンドは、前記重要な構造体が基板上に形成されたときに所定の基準を満たす領域を定めるものであり、前記制約バンドと関連する前記1つ又は複数の制約に従って制約される縁部を含む、ステップと、
    を含む方法。
  2. 前記複数の設計層のうちの前記選択された1つの設計層における前記重要な構造体が、前記選択された設計層とは異なる設計層における構造体影響を与える構造体となる場合には、前記許容バンドを制約バンドとして用いるステップをさらに含む、請求項1に記載の方法。
  3. 前記制約バンドは、
    前記選択された設計層とは異なる前記複数の設計層のうちの前記1つの設計層における前記重要な構造体に影響を与える構造体のCD許容領域と、
    前記複数の設計層のうちの前記選択された1つの設計層における前記重要な構造体に関する前記重要な構造体に影響を与える構造体の重なり許容領域と、
    をさらに含む、請求項1に記載の方法。
  4. 前記制約バンドは、
    前記選択された設計層とは異なる前記複数の設計層のうちの前記1つの設計層における前記重要な構造体に影響を与える構造体についての許容バンドと、
    前記複数の設計層のうちの前記選択された1つの設計層における前記重要な構造体に関する前記重要な構造体に影響を与える構造体の重なり許容領域と、
    をさらに含む、請求項1に記載の方法。
  5. 前記複数の設計層のサブセットを、その各々が重要な構造体を含むように予め選択するステップと、
    選択された1つの設計層を特定する前記ステップの前に、所定の基準に従って前記サブセットの順位付けを行うステップと、
    選択された1つの設計層を特定するステップ、制約バンド決定するステップ、及び、前記サブセットの各々についての許容バンドを前記順位付けの順番に決定するステップを実施するステップと、
    をさらに含む、請求項1に記載の方法。
  6. 請求項1乃至のいずれかに記載の方法の各ステップをコンピュータに実行させる、プログラム。
  7. 請求項1乃至のいずれかに記載の方法の各ステップを実行するための手段を備える、システム。
JP2008535640A 2005-10-12 2006-10-11 マスク・レイアウトの設計する方法、該設計のためのプログラム、設計パラメータを伝達する方法、および、これらの方法を実現するプログラムならびにシステム Expired - Fee Related JP5243958B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/163,264 2005-10-12
US11/163,264 US7266798B2 (en) 2005-10-12 2005-10-12 Designer's intent tolerance bands for proximity correction and checking
PCT/US2006/039701 WO2007047298A1 (en) 2005-10-12 2006-10-11 Designer's intent tolerance bands for proximity correction and checking

Publications (3)

Publication Number Publication Date
JP2009511988A JP2009511988A (ja) 2009-03-19
JP2009511988A5 JP2009511988A5 (ja) 2009-04-30
JP5243958B2 true JP5243958B2 (ja) 2013-07-24

Family

ID=37912233

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008535640A Expired - Fee Related JP5243958B2 (ja) 2005-10-12 2006-10-11 マスク・レイアウトの設計する方法、該設計のためのプログラム、設計パラメータを伝達する方法、および、これらの方法を実現するプログラムならびにシステム

Country Status (7)

Country Link
US (2) US7266798B2 (ja)
EP (1) EP1952289A4 (ja)
JP (1) JP5243958B2 (ja)
KR (1) KR101006264B1 (ja)
CN (1) CN101288080B (ja)
TW (1) TW200725348A (ja)
WO (1) WO2007047298A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7506277B1 (en) * 2005-07-28 2009-03-17 Cadence Design Systems, Inc. Method and mechanism for implementing DFM aware cells for an electronic design
US7530037B2 (en) * 2005-10-26 2009-05-05 Freescale Semiconductor, Inc. Methods of generating planar double gate transistor shapes and data processing system readable media to perform the methods
US7491594B2 (en) * 2005-10-26 2009-02-17 Freescale Semiconductor, Inc. Methods of generating planar double gate transistor shapes
US7458060B2 (en) * 2005-12-30 2008-11-25 Lsi Logic Corporation Yield-limiting design-rules-compliant pattern library generation and layout inspection
US7503028B2 (en) * 2006-01-10 2009-03-10 International Business Machines Corporation Multilayer OPC for design aware manufacturing
US7448008B2 (en) * 2006-08-29 2008-11-04 International Business Machines Corporation Method, system, and program product for automated verification of gating logic using formal verification
US7448018B2 (en) * 2006-09-12 2008-11-04 International Business Machines Corporation System and method for employing patterning process statistics for ground rules waivers and optimization
US7765518B2 (en) * 2008-03-20 2010-07-27 International Business Machines Corporation System and method for implementing optical rule checking to identify and quantify corner rounding errors
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US20110047519A1 (en) * 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8281263B2 (en) * 2009-12-17 2012-10-02 International Business Machines Corporation Propagating design tolerances to shape tolerances for lithography
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
US8631379B2 (en) * 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
US8392871B2 (en) 2010-04-30 2013-03-05 International Business Machines Corporation Decomposition with multiple exposures in a process window based OPC flow using tolerance bands
US8415077B2 (en) 2010-08-13 2013-04-09 International Business Machines Corporation Simultaneous optical proximity correction and decomposition for double exposure lithography
US8875063B2 (en) 2010-10-11 2014-10-28 International Business Machines Corporation Mask layout formation
US8381141B2 (en) * 2010-10-28 2013-02-19 International Business Machines Corporation Method and system for comparing lithographic processing conditions and or data preparation processes
US8298953B2 (en) 2010-12-20 2012-10-30 Infineon Technologies Ag Method for defining a separating structure within a semiconductor device
US8365108B2 (en) 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US9250535B2 (en) 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
US9262578B2 (en) 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
CN108009352A (zh) * 2017-11-30 2018-05-08 上海华力微电子有限公司 一种光刻版图的填充流程及光刻掩膜的设计方法
CN113050389B (zh) * 2021-03-30 2022-12-02 长鑫存储技术有限公司 光刻工艺条件添加方法及装置、设计系统、介质和设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0126640B1 (ko) * 1994-05-07 1998-04-02 김주용 반도체소자 및 그 제조방법
KR970003508A (ko) * 1995-06-30 1997-01-28 김주용 반도체소자의 제조방법
JP3466852B2 (ja) * 1997-02-18 2003-11-17 株式会社東芝 半導体装置の製造方法
US5958635A (en) * 1997-10-20 1999-09-28 Motorola, Inc. Lithographic proximity correction through subset feature modification
US6557162B1 (en) * 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2003043666A (ja) * 2001-08-03 2003-02-13 Matsushita Electric Ind Co Ltd 回路設計パターンの評価方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
JP2003322945A (ja) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp レイアウトパターンデータの補正装置
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7171645B2 (en) * 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
US7313508B2 (en) * 2002-12-27 2007-12-25 Lsi Corporation Process window compliant corrections of design layout
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
DE602004011860T2 (de) 2003-09-05 2009-02-12 Asml Masktools B.V. Methode und Vorrichtung für modellgestützte Plazierung phasenbalancierter Hilfsstrukturen für optische Lithographie mit Auflösungsgrenzen unterhalb der Belichtungswellenlänge
JP4068541B2 (ja) 2003-09-25 2008-03-26 株式会社東芝 集積回路パターン検証装置と検証方法
US7155689B2 (en) * 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
US7269817B2 (en) * 2004-02-10 2007-09-11 International Business Machines Corporation Lithographic process window optimization under complex constraints on edge placement
JP2007536581A (ja) * 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
US7908572B2 (en) * 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
US7260814B2 (en) * 2004-12-14 2007-08-21 Lsi Corporation OPC edge correction based on a smoothed mask design
US7284231B2 (en) * 2004-12-21 2007-10-16 Freescale Semiconductor, Inc. Layout modification using multilayer-based constraints
US7914949B2 (en) * 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用

Also Published As

Publication number Publication date
CN101288080B (zh) 2012-05-23
KR20080067624A (ko) 2008-07-21
US20070261013A1 (en) 2007-11-08
US20070083847A1 (en) 2007-04-12
EP1952289A4 (en) 2009-07-29
JP2009511988A (ja) 2009-03-19
CN101288080A (zh) 2008-10-15
KR101006264B1 (ko) 2011-01-06
US7607114B2 (en) 2009-10-20
US7266798B2 (en) 2007-09-04
TW200725348A (en) 2007-07-01
WO2007047298A1 (en) 2007-04-26
EP1952289A1 (en) 2008-08-06

Similar Documents

Publication Publication Date Title
JP5243958B2 (ja) マスク・レイアウトの設計する方法、該設計のためのプログラム、設計パラメータを伝達する方法、および、これらの方法を実現するプログラムならびにシステム
US20080082952A1 (en) Method of inclusion of sub-resolution assist feature(s)
US8438506B2 (en) Method and system for implementing controlled breaks between features using sub-resolution assist features
US8572533B2 (en) Waiving density violations
US20120047479A1 (en) Incremental Layout Analysis
US20100257496A1 (en) Design-Rule-Check Waiver
US8788982B2 (en) Layout design defect repair using inverse lithography
US20130198703A1 (en) Virtual Flat Traversal Of A Hierarchical Circuit Design
US8910094B2 (en) Retargeting semiconductor device shapes for multiple patterning processes
US20170262570A1 (en) Layout Design Repair Using Pattern Classification
US10089432B2 (en) Rule-check waiver
US20150143317A1 (en) Determination Of Electromigration Features
US20080134129A1 (en) Design rule checking for alternating phase shift lithography
US20130263074A1 (en) Analog Rule Check Waiver
US9811615B2 (en) Simultaneous retargeting of layout features based on process window simulation
US20120198394A1 (en) Method For Improving Circuit Design Robustness
JP5340534B2 (ja) 集積回路のためのマスク・レイアウト設計方法およびプログラムならびに集積回路のマスク・レイアウトの最適化方法
US20230408901A1 (en) Optical proximity correction for free form shapes
US7926005B1 (en) Pattern-driven routing
US20100185995A1 (en) Electrostatic Damage Protection Circuitry Verification
US20110265054A1 (en) Design-Rule-Check Waiver
US10210302B2 (en) Electrostatic damage protection circuitry verification
Wu et al. Optical Proximity Correction Using a New Hyper Error Estimation Method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120712

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120720

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120810

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160412

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees