JP4880194B2 - ガスのプラズマ処理装置およびプラズマ処理方法 - Google Patents

ガスのプラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
JP4880194B2
JP4880194B2 JP2003500315A JP2003500315A JP4880194B2 JP 4880194 B2 JP4880194 B2 JP 4880194B2 JP 2003500315 A JP2003500315 A JP 2003500315A JP 2003500315 A JP2003500315 A JP 2003500315A JP 4880194 B2 JP4880194 B2 JP 4880194B2
Authority
JP
Japan
Prior art keywords
gas
plasma
discharge tube
plasma discharge
pfc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003500315A
Other languages
English (en)
Other versions
JP2004537396A (ja
Inventor
ロスタイング、ジャン−クリストフ
ギュラン、ダニエル
ラルケ、クリスティアン
リ、チュン−ハオ
モワサン、ミシェル
デュルフィー、エルベ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Liquide Electronics Systems SA
Original Assignee
Air Liquide Electronics Systems SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=8863824&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4880194(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Liquide Electronics Systems SA filed Critical Air Liquide Electronics Systems SA
Publication of JP2004537396A publication Critical patent/JP2004537396A/ja
Application granted granted Critical
Publication of JP4880194B2 publication Critical patent/JP4880194B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/46Removing components of defined structure
    • B01D53/68Halogens or halogen compounds
    • B01D53/70Organic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • B01D53/323Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00 by electrostatic effects or by high-voltage electric fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/80Employing electric, magnetic, electromagnetic or wave energy, or particle radiation
    • B01D2259/818Employing electrical discharges or the generation of a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0873Materials to be treated
    • B01J2219/0875Gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0894Processes carried out in the presence of a plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Biomedical Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Treating Waste Gases (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Chemical Vapour Deposition (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)

Description

本発明は、プラズマ技術によるガスの処理に係り、特にガスを分解することを目的として、例えば過フッ化されたガス(PFC)、特に過フッ化炭素ガスおよび/またはハイドロフルオロカーボン(HFC)ガスのようなガスの処理の分野に関する。
本発明は、この種のガスを処理するためのユニットまたはシステム、およびこれらのガスを処理する方法に関する。
特にこれらの課題に関連する産業の1つとして半導体産業がある。これは、半導体の製造が過フッ化ガス(PFC)およびハイドロフルオロカーボンガス(HFC)のかなりの総トン数を消費している産業活動のうちの1つであるという理由による。
これらのガスは、集積電子回路のパターンエッチングのプラズマエッチングプロセスおよび特に化学蒸気堆積(CVD)により薄膜材料を製造するための反応装置をクリーニングするプラズマクリーニングプロセスに使用される。
それらのガスは、製造または成長またはエッチングまたはクリーニング、または、半導体または半導体または薄膜装置の処理、または、半導体、または、導電性または絶縁性の薄膜または基板の処理に使用されるか、または他にマイクロ回路のリソグラフィのために使用される感光性樹脂を除去するプロセスに使用される。
これを実施するために、これらのPFCおよび/またはHFCガスは、特に原子フッ素内で付与することを目的として、チャンバまたは反応装置内の冷電気放電プラズマ内で解離される。
原子フッ素は、真空ポンプシステムによるチャンバから引き出され、またシステムの排気ユニットに送られる不安定な化合物を与えるために、処理またはエッチングされるべき材料の表面で原子と化学反応する。
過フッ化ガスまたはハイドロフルオロカーボンガスは、一般に上述のプロセスにより完全には消費されない。装置設備から放出される量はPFCまたはHFC流入量の50%を上回っている。
過フッ化ガスまたはハイドロカーボンガスは、特にそれらの大きい化学的安定性によっておよび赤外線のそれらの非常に高い吸収によって特徴づけられる。したがって、これらのガスは、温室効果を補うことにより気候の全体的な上昇に対して重大に寄与するおそれがある疑いをもたれている。
ある先進工業国は、原則として、温室効果ガスのそれらの排出を減らすことに専念している。
これらのガスを消費している特定の産業は、規則の変化を予期するほうを選択した。特に、半導体産業は、自発的な放出減少方針を採用する際の最前線にある。
放出においてこれらガスの減少を達成するいくつかの技術的方法がある。
多様な考えられる解決の中で、現在のプロセスを最適化することは、その可能性において制限されるようである。代用化学を含む技術の使用は、最も現在の設備において不適当である。変換されないPFCまたはHFCを回収しリサイクルする技術に関しては、目的が製品に方法のそれらを再利用することが可能であるのに十分な純度を提供することである場合、これは非常に高価なことが判明している。
反応装置内に残こる変換されないPFCまたはHFCの減少または滅失の技術もまた同様である。
公知の減少技術のなかでは、バーナーまたは電気炉内で、触媒作用の酸化およびプラズマ技術において、PFCの熱変換でできてもよいと言及されている。
これらの技術は、特にCF4のような最も安定な分子に関して、限られた効率を有するかまたは、最も高いケースにおいておおよそ毎分数百標準cm3のオーダーであり、流量については、半導体製造プラントでの実施において遭遇するPFC流の十分に満足な効果的な処理とならない。
特許文献1、特許文献2および特許文献3には、PFCまたはHFCガス減少溶液が記載されているが、ライン内で、半導体製造装置の環境の範囲内で、実際的ないずれにも実施を与えない。
提案されるいくつかの溶液は(特許文献4および特許文献1)レアガス型のキャリアガスの場合だけに関するものであり、それは希釈ガスとしてのこれらのレアガス(希ガス)の消費が製造業者によって除外されるこの種の製造装置以外において、それは実験室内で実施することができる。
EP874537号公報 EP847794号公報 EP820201号公報 EP820801号公報
半導体製造プロセス以外のプロセスの廃水を処理するためのものであることが現在知られている他の「プラズマ」型溶液のどれも、おおよそ毎分数百標準cm3のオーダーで、半導体製造分野で遭遇するそれらのような、高い流量をもつPFCを満足に効果的に処理することが認められない。
同様の問題は、半導体分野で使用される技術を含む全ての活動の場合に起こり、特にPFCおよび/またはHFCガスを使用する全ての分野で起こる。
本発明に係るガスのプラズマ処理装置は、半導体製造プロセスからの流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)を含むガスを大気圧で処理するために、前記被処理ガスが送給されると共に、前記被処理ガスが0.1〜1%となるように希釈用の空気または窒素を10〜50リットル/分で注入することで出口が大気圧と実質的に等しい圧力にあるポンプ手段と、前記ポンプ手段の下流において、大気圧下で局所熱力学平衡にないプラズマであって、周波数50MHz以上の高周波電場によって持続されるプラズマを生成するプラズマ生成手段と、内部で放射状の放出縮小現象が制限されるようにその内径が8mm乃至4mmとされたプラズマ放電チューブ前記内径のプラズマ放電チューブに供給される前記流量の希釈されたガスがプラズマ化されることにより発生する熱を下げるために前記プラズマ放電チューブの下流に設けた冷却手段と、を具備し、
前記プラズマ放電チューブは、前記流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)と希釈ガス中の残留湿分とにより生成された反応生成物の一部が、前記冷却手段により冷却されることで固体及び/又は液体となって、前記プラズマ放電チューブの汚染及び閉塞することを防止するために、前記ガスが上方から下方に通り抜ける構造とされている
本発明のシステムは、大気圧と実質的に同等かまたはそのオーダーで、キャリアガスと混合したPFCまたはHFC型ガスの処理に好適であると認められ、特に窒素または空気1リットル当りにつきPFCが数十リットルの割合で混じって0.1%〜1%のオーダーの濃度を有するガスの処理に好適であると認められる。
本発明において、放電プラズマは非局所熱力学平衡プラズマであり、すなわち放電プラズマは放出の少なくとも一つの領域が局所熱力学平衡にない
高周波で維持されるプラズマはMHzまたはGHzの範囲内にあり、例えば50MHzを超え、数百MHzまたは数GHzの周波数であり、非局所熱力学平衡プラズマを維持することを可能にする。

プラズマの高い変換効率を達成するために、プラズマ生成手段は、ポンプの下流で、少なくとも1012cm-3の電子密度、例えば1012cm-3乃至10-15cm-3の間、好ましくは1013cm-3乃至1014cm-3の電子密度を生成するために選ばれる。
好ましくは、ポンプ下流での圧力低下は300ミリバール未満に制限される。
ここで、大気圧プラズマの使用は、ポンプの下流で、チューブ内においてまたは一般に管状の絶縁チャンバ内において、放電が持続され、プラズマ内の放射状の縮小現象は本発明に従う処理システムの効果的な動作に有害である。
一実施例によれば、プラズマ放電チューブは8mm乃至4mmの間の直径を有するかまたは8mm乃至6mmの間の直径を有し、縮小の適度な程度を維持するために選ばれる。
プラズマ放電チューブは100mm乃至400mmの間の長さを有し、さらにポンプの下流で圧力損失を制限するように選ばれる。
これは、堆積する液体を伴うチューブが汚染されたり閉塞したりするリスクを制限することを可能とし、乱されているプラズマ内へのマイクロ波パワーのカップリングに、またはポンプ下流での過度に大きい圧力低下に結果としてなるかもしれない。
排水手段は、液状の濃縮物を回収するためおよび処理回路から液状の濃縮物を除去するために、プラズマ放電チューブのボトム位置に設けられる。
また他の態様によれば、オーブン-乾燥またはタップ手段は、固体の沈澱またはポンプ下流の圧力低下を増やすかもしれない濃縮を制限するためにガス流路に設けることができる。
また、本発明の反応装置ユニットは、PFCまたはHFCガスの少なくとも一つをつくりだす反応室を有し、さらに上述のPFCまたはHFC処理システムを含む。
反応室は、例えば、半導体または薄膜装置または半導体または導電性または絶縁性の薄膜または基板を、製造または成長またはエッチングまたはクリーニングまたは処理し、あるいはマイクロ回路リソグラフィに用いた感光性樹脂の除去、あるいはプラズマクリーニング中に薄膜を堆積させるための反応装置を有する。
また、本発明の設備は、半導体または半導体または薄膜装置または半導体基板を製造または成長またはエッチングまたはクリーニングまたは処理するための設備であって、半導体または薄膜装置または半導体または導電性または絶縁性の薄膜または基板を製造または成長またはエッチングまたはクリーニングまたは処理し、またはマイクロ回路リソグラフィに用いた感光性樹脂を除去し、またはプラズマクリーニング中に薄膜を堆積させる反応装置と、前記反応装置内の大気をポンプ排出する第1の手段と、上記記載の処理システムと、を有する。
処理システムは、好ましくは反応装置の近くに配置する。なお、処理または製造またはエッチングまたはクリーニングユニットを施設所の床の上に置いても良く、あるいは処理または製造またはエッチングまたはクリーニングショップを製作所の床の上に置いてもよい。
また、本発明に係るガスのプラズマ処理方法は、半導体製造プロセスからの流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)を含むガスを大気圧で処理するために、前記被処理ガスがポンプに送給されると共に、前記被処理ガスが0.1〜1%となるように希釈用の空気または窒素を10〜50リットル/分で注入することで実質的に大気圧と等しい圧力のガスをポンプ送給し、該被処理ガスをプラズマで処理する方法において、
ポンプの下流において前記ガスは、内部で放射状の放出縮小現象が制限されるようにその内径が8mm乃至4mmとされたプラズマ放電チューブ内において、
大気圧下で局所熱力学平衡にないプラズマであって、周波数50MHz以上の高周波電場によって持続されるプラズマとされ、
前記内径のプラズマ放電チューブに供給される前記流量の希釈されたガスがプラズマ化されることにより発生する熱を下げるために前記プラズマ放電チューブの下流を冷却し、
前記流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)と希釈ガス中の残留湿分とにより生成された反応生成物の一部が前記プラズマ放電チューブの下流において冷却されることで固体及び/又は液体となって、前記プラズマ放電チューブの汚染及び閉塞することを防止するために、前記プラズマを上方から下方に通り抜けるように、前記プラズマ放電チューブ内に導入される。
被処理ガスは、実質的に大気圧で、キャリアガスと混合されてもよく、例えば、窒素または空気が窒素または空気注入手段を用いて注入されている。
窒素または空気は、(危険な反応生成物の場合に)希釈効果があり、プラズマ生成の役割を有する。
都合の良いことに、プラズマ処理は放電チューブ内で発生し、放電チューブ内での放射状の放出縮小現象を制限するように、このプロセスは該放電チューブの直径に適合する前工程を含む。
前記プラズマ処理プロセスは反応装置内の化学反応に適用することができ、前記反応が該処理プロセスにより処理される少なくとも一つの廃ガスを生成するかまたは放出する。
前記反応は、例えば、半導体または薄膜装置または半導体または導電性または絶縁性の薄膜または基板を製造または成長またはエッチングまたはクリーニングまたは処理する反応であり、あるいはマイクロ回路リソグラフィに用いた感光性樹脂を除去する他の反応であり、またはプラズマクリーニング中に薄膜を堆積させる反応であり、PFCおよび/またはHFCガスを用いるものであり、廃ガスは特にPFCおよび/またはHFCガスである。
本発明は、先ず第1に半導体製造設備がおかれた状況(コンテキスト)の範囲内で記載されている。
本発明の処理システムを備えているこの種のプラントは、図1に示すように、製造反応装置またはエッチングマシン2、高真空ポンプ4(例えばターボ分子ポンプ4)および粗引きポンプ6)を含むポンプシステム、およびプラズマ発生器型としてPFCおよび/またはHFC化合物の減少のための手段8を有する。
運転中において、ポンプ4はプロセスチャンバ内の必要な真空を維持し、排出されたガスを取り出す。
反応装置2は、半導体製品を処理するためのガス、特にPFCおよび/またはHFCガスが供給される。したがって反応装置2にガスを供給するガス供給手段が存在するが、これらは図示していない。
一般的に、これらのガスは、約10または数十sccmから数百sccm(毎分当りの標準立方センチメートル)のオーダーまで、例えば10乃至200sccmの間か、または10乃至300sccmの間の流量で反応装置に導入される。
一般に、これらのガスが半導体製作または処理プロセスまでに完全に消費されるというわけではなく、その消費量はおそらく50%より大きい割合どまりである。したがって、PFCおよび/またはHFCガスの流量は、粗引きポンプ6の下流で、数十から数百sccmのオーダー、例えば10乃至100sccmの間か、もしくは10乃至200sccmの間となるのが全く普通である。
手段8は、これらの消費されないPFCおよび/またはHFC化合物の処理(分離または逆転できない転換)を実施するために使われるが、それらはまた、それによってF2および/またはHFおよび/またはSiF4および/またはWF6および/またはCOF2および/またはSOF2および/またはSO22および/またはNO2および/またはNOFおよび/またはSO2のような副産物を生じる。
これらの手段8は、反応化合物(特にフッ素で処理された化合物)を形成するために、手段8に入って来るガスの分子を解離するための手段である。
より具体的には、手段8のプラズマは、初期の中性ガス分子から電子を剥ぎ取ることによって、プラズマに従属するガスの分子をイオン化するために用いられる。
放電作用のために、処理または浄化されるガスの分子、特に基礎ガスの分子は初期の分子より小さいサイズのラジカルを形成するために解離され、その後に、場合によっては、個々の原子、原子および基礎ガスの分子の断片は、実質的に化学反応を引き起こさないで励起されてもよい。
放電を通過した後に、基礎ガスの原子または分子は、その後にそのままの状態で、それぞれが非励起となり再結合する。
これとは対照的に、不純物は、初期の分子のそれらと異なる化学的特性を有する新しい分子の断片の形成によって、例えば、分離および/または逆転できない転換(conversion)を経る。そして、それはその後で、適切な次の処理によってガスから取り出すことができる。
反応ユニット10は、前記化合物の破壊を目的とするために、対応する活性元素(例えば、固体反応性吸着)を反応させる手段8による処理から結果として生じる化合物をつくるために用いられる。反応ユニット10による処理から生じるガス(事実、キャリアガスはPFCおよび/またはHFC型化合物および/または上述した他の不純物を随伴する)は、大気中に放出されるが、危険性はない。PFCおよび/またはHFCの割合は、環境保護(おおよそ初期濃度の1%未満)に一致して適合し、かつ非常に低く、有害な不純物(すなわち法律の露出が制限する下記)はおおよそ0.5ppm未満または1ppm未満としている。
安全性の理由のために、反応装置からまたは製造室2から入ってくるガスの廃物(effluent)は、実質的に大気圧で窒素(付加ガスを伴う、ことに酸素)または空気中に高度に希釈され、下降流または粗引きポンプまたは粗真空ポンプの排気中にある。したがって、システムは、図1には示さない窒素(および酸素)ガスまたは空気の注入手段を有する。空気または窒素(および酸素)は、粗引きポンプの高圧ステージで注入される。
好ましくは、低温の蒸留によって得られたドライ窒素を希釈ガスとして注入する。希釈は、残留湿分の存在の可能性と関連する問題(以下に説明)を低減する。この希釈は、非ガス状の生成物の形成を結果としてもたらす(H2SO4またはHNO3、または、SiOxNyまたはタングステン・エッチングのWOx、または、WOF4)。また、希釈は、SiF4またはWF6の加水分解のような他の問題のケースにおいて、汚染除去プラズマの直前に堆積する結果をもたらす。
粗引きポンプ6の下流における流体の流量にはこの希釈が課される。おおよその流量は、窒素または空気を毎分数十リットルのオーダー(例えば、10〜50リットル/分)で、流体は0.1%から1%までのPFCおよび/またはHFCを含む。
圧力は、ポンプの下流で、大気圧のオーダーであり、例えば、0.7バールまたは0.8バール、および1.2バールまたは1.3バールである。
空気または窒素のようなキャリアガスの大気圧での使用は、プラズマ生成手段8によるガスのイオン化のためおよびプラズマを維持するために多量のエネルギーを必要とする(放電チューブ1センチメートル当りに少なくとも150W、例えば放電チューブ1センチメートル当り約200W、他の実施例に従う放電チューブではチューブ1センチメートル当り150W〜500Wの間の電力を選ぶことができる)。
プラズマ生成手段8によって生成されたプラズマは、局所熱力学平衡(LTE)にあることは好ましくない。また、このプラズマは、放電の少なくとも一つの領域が局所熱力学平衡にないものであってもよい。マイクロ波トーチの使用が可能であり、一般に熱プラズマに分類されるが、「包み(envelope)」領域を除いて、放電の断片のおよその量と転換反応の大部分とが置き換わることができ、実質的に局所熱力学平衡(LTE)にない
好ましくは、放電またはプラズマ源は、MHzおよびGHz範囲の高周波電場によって支持される型である。これらの高周波では、励起電場に対して電子は優勢にまたは排他的に応答する。よって、これらの放電の局所熱力学平衡を逸脱した(off−LTE)特性となる。熱力学の平衡からの逸脱をコントロールすることは、転換化学(conversion chemistry)を副産物の性質を制御することによって最適化させることができる。種々の外部操作パラメータは、この逸脱に対して影響を及ぼす。例えば、希釈ガスの選択、少量の添加ガスの添加、あるいは励起周波数は、熱力学の平衡からの逸脱に対して影響を及ぼす。また、この周波数もプラズマの電子密度に影響を及ぼし、周波数に応じてプラズマ電子密度は一般に増加する。大気圧でのマイクロ波電界によって維持されるプラズマは高密度(周波数2.45GHzで1012から1015cm-3まで、およびより詳しくは窒素または空気中で1013から1014cm-3まで)であり、プラズマはPFCおよび/またはHFCが窒素または空気に含まれるときPFCおよび/またはHFCの転換において高効率の達成を助ける働きがある。
実際には、周波数は433.92MHz、915.00MHz、2.45GHzおよび5.80GHzを中心とするバンドのうちから1つが選ばれる。40.68MHzを下回るバンドは無線周波数範囲の中ですでにあり、それゆえにプラズマ密度は高効率を得るにはあまりに低すぎる。
大気圧で作動できる高周波プラズマ源は多様で広範であり、その結果として異なる放電特性の範囲と種々の長所と短所を有している。特に、高周波プラズマ源に関しては設計と製作がシンプルであり、器具の取扱いが問題なく容易であり、かつコストが問題なく容易である。
見込まれる用途のコンテキスト(context)の範囲内で、以下の4つのタイプの高周波プラズマ源を使用することができる。
第1のタイプは、共鳴空洞の内部で持続されるプラズマを含む。空洞は、導波管または同軸ラインのいずれかを通って供給するようにできる。放電空間の拡がりは、空洞のサイズによって制限される。プラズマ電子密度は、特に表面波プラズマ源と異なる問題の周波数で、臨界的な密度を大きく上回ることができない。
また、事実上は不完全な空洞に見立てられる導波管の内部で持続されるプラズマに関連している。また、この第1タイプのプラズマは、上述した2つの限界、すなわちサイズと電子密度との制約を受ける。さらに、放電の最大の範囲は、導波管の横断面の寸法の1つに対応する。
本出願のコンテキストの範囲内で使われることが可能な第3のタイプの高周波プラズマ源はトーチで表わされる。放電は、伝送線(通常、同軸線)の長さの終端部に、高周波パワーを吸収する負荷を形成する。トーチは同軸線または導波管を介してパワーを供給されることができる。パワーの増加は、プラズマ電子密度の増加とフレーム(flame)量の増加と包み(envelope)の増加を結果としてもたらす。
第4のタイプの高周波プラズマ源は、大気圧下で作動することができ、表面波アプリケータの系統からなるものである。表面波プラズマ源のコンテキスト内で、フィールドアプリケータ(field applicator)を再度設計しなおす必要がなく、プラズマカラム(plasma column)の大きさは入射マイクロ波パワーの単純な増加により増加させることができる。カラム内のプラズマ密度は臨界密度(critical density)を超えている。
これらの各種タイプに関するより詳細な情報は、「マイクロ波励起プラズマ」(エム.モイサン(M. Moisan)とジェイ.ペレティア(J. Pelletier)、エルゼビア、アムステルダム、1992年発行)の第4章および第5章が与える。
窒素または空気キャリアガス(0.1%から1%あるいは数%までの濃度のPFCおよび/またはHFCを有する)の毎分数十リットルオーダーの流量のために、大気圧高周波プラズマ源で95%より大きい転換度を達成することは全く可能である。
使用するプラズマ源がどのようなものであっても(トーチを除いて)、そのなかで放電が持続される一般的な管状チャンバを用いるか、あるいはそのなかで放電が生成される誘電チューブを用いる。例えば、それは文献EP1 014 761に記載されているタイプのチューブであってもよい。
チューブまたは管状チャンバは、100mmから400mmまでの間の長さ、例えば周囲約300mm、および4mmから8mmまでの間の内径を有するものであり、ポンプの下流で過度に大きい圧力低下を招くことを回避し、粗引きポンプ6と両立しないもののように言われている。この理由は、粗引きポンプを単独で通常運転すると、その下流においてほとんどの場合が300ミリバールの圧力低下を生じ、もっと大きい場合はおよそ400ミリバールもの圧力低下を生じ、粗引きポンプを停止させる一般的な原因となるからである。このように過度に大きい圧力低下は、半導体製造ラインの用途においては受け入れることが難しい。
チューブの長さを適切に選択しているにもかかわらず、粗引きポンプの下流に位置するガス回路内に固体および/または液状の堆積物が形成されるというもう1つの課題がある。この種の堆積は、製造装置の運転を実質的に損なう圧力低下および/または腐食を次々に引き起こし、その結果として製造装置がシャットダウンされる。この問題は、例えば冷却が実施される領域、特にプラズマの下流側で発生するケースである。
さらに、大気圧高周波放電において、ポンプ6に通常課される流量の範囲内で(毎分数十リットルのキャリアガス)、チューブの中心軸から周縁に向かって電子密度が減少し、チューブの中心軸の近傍を流れる活性種よりもそれらの流路(パス)の周縁(周壁)に遭遇する活性種のほうが少なくなるようにガス分子が流れる放射縮小現象(radial contraction phenomenon)が起こる。ある場合には、放電はチューブの全ての横断面をもはや満たすことができなくなり、それから不安定な方法で動いているいくつかのプラズマ・フィラメント(plasma filaments)の出現が見られる。その結果として転換の歩留りが突然低下する。
この縮小の程度は、いくつかの要因に依存し、特にチューブの直径、希釈ガスの性質、不純物および補助ガスの性質、流束の速さ、チューブの壁の熱伝導率および励起周波数に依存している。一般に全て他の条件が同じであるとするならば、放電室の内径が減少するとき、または周波数が低くなるときに、縮小の程度は減少する。しかし、チューブの直径を任意に小さくすることができないのに対して、チューブ壁の熱応力が増加し、また、プラズマ汚染除去反応装置8全体の圧力低下が総流量(例えば並べて一緒に接続されているいくつかの粗引きポンプの場合)に顕著に依存するようになる。
すでに上述したように、粗引きポンプ6内での過度の圧力低下は、結果として全ての製造装置を停止させる。
放電チューブの内径は、縮小を低減するためおよび高度な転換を得るために8mm乃至4mmの間で適宜選択することができるが、その一方では粗引きポンプ6に過度の圧力低下を強いない。最良の条件範囲内で動作させることによって、得られる転換度(degree of conversion)を与える放電の長さは減少する。縮小現象を自信をもって断言できないことから、プラントを運転する前において、予め放電チューブの内径を選択しておくことが好ましい。可変な直径のチューブの使用は、プロセスの効率を様々に変化させる。
放電中のPFC分子のパス長を増加させる他の方法は、ガス流の流し方を変えることにあり、例えばパーティクルの通り道を直線よりもむしろ曲線にするために渦を発生させることによりガス流の流し方を変える。
好ましくは、放電チューブの厚みは、約1mmとするか又は1mmから5mmまでの間とする。
したがって、放電チューブは薄肉である。運転中においてチューブ外面の温度は全て高い。しかし、これが冷却液体の熱安定性の不利益にならないこと、すなわち非常に長い時間にわたっても、この流体はいかなる検出可能な低下も見られない(試運転から数百時間運転でも長持ちする)ことが判明した。
さらに、1mm近くの厚みを有する放電チューブは、プラズマ源の適切な動作をモニターするために、特にカラムの長さをモニターするために、光学的な測定がなされる。
厚みが1mmあるいは1mmから1.5mmまで間の放電チューブを通して、空気または窒素のプラズマを光学的にモニターすることができる。ただし、厚みが2mmの放電チューブを通して該プラズマを光学的にモニターすることは非常に困難である。
選んだプラズマ源によれば、これらの一般的な原理は種々の方法に適用することができ、転換効率を最適化する際により大きいか又はより小さい範囲に促進させることができる。
共鳴空洞内において、プラズマ密度は臨界密度を大幅に上回ることができないものである。プラズマ密度は少なくとも真の空洞モード(true cavity modes)に制限される。この理由は、電力が増加すると、表面波モードが現われ、波が空洞の端部である壁を伝わることにより空洞内に閉じたままの状態となり、定在波に対応する波が別に伝播するようになるからである。表面モードの場合、そのプラズマ密度は常に臨界密度より大きい。閉じた空洞のために、チューブに沿った放電の範囲は空洞のサイズによって制限される。したがって、後者の長さは、所望の転換歩留りを得るために十分なプラズマのボリュームが提供されるように構造によって選択される。
考慮の同じタイプは導波管内での放電に適用される。この場合、導波管の横断面の一寸法は放電の最大の長さを決定し、十分なパワーおよび導波管の構成に従う限りにおいて、波は後者の外側を伝播し、それは表面波アプリケータとなる。導波管の寸法は、問題の周波数でガイド伝播モード(guided propagation mode)が存在する条件を満たしている。
トーチの場合は実質的に異なり、流れの規則性とプラズマ炎の対称性を乱さないようにするため、ノズルの寸法と比べてかなり大きいチャンバ内にプラズマ炎の内側の円錐(inner cone)および包み(envelope)がともに出現する。このチャンバは、下流に位置する後処理手段にガスを向けるために、副産物を積載したガスの流れを集めるために用いられる。ノズル(数および横断面内でのオリフィス寸法および位置)の形状の詳細は、プラズマ炎のなかの活性種の行路を制御する役割を有する。チャンバ内の流れが同じ目的のために最適化されることが、ここでも指摘することができる。
最後に、表面波プラズマの場合、放電の範囲はフィールドアプリケータの実施構造のサイズによって制約されない。従って、放電の範囲は所望の性能に従ってマッチされる必要はない。チューブ内での放電の長さは、ジェネレータによって分配される入射高周波パワーを増大させることにより所望値まで長くすることができる。
図1のシステムのすべての処理手段のガス回路は、粗引きポンプ6から始まり、反応性プラズマモジュール8内に廃水を輸送するライン7、次いで副産物後処理装置10にプラズマをリンクさせるライン9、および最後にいかなる危険もなく放出することができる無毒化したガスを大気中に排出するライン12を含んでいる。
図1には示していないが、これらに種々の流体管理付属品(保守点検用のバイパス弁およびパージおよび分離ユーティリティ)および安全センサ(流れ−欠陥および過剰圧力警報器)を付加することができる。回路構成要素は、それらが信頼できる動作のための接触においてある製品と互換性を持つように選択される。
オーブン乾燥システムまたはトラップシステムがさらに存在していてもよい。
これは粗引きポンプ6によって廃水(effluent)を抜き取る理由であり、大気圧に戻すと、必ずしも全部がガスの形態で残留しない。希釈ガス中のいくらかの残留湿分(数百ppmv)の存在によりさらに悪化することが一般に問題になる。例えば、SF6エッチング法は固体硫黄、H2SO4およびHNO3などを生産することができる。特定の廃水は凝縮するかまたは固体形態に堆積することがあるので、ポンプ6の下流で圧力低下が増大するおそれがある。その結果、上述したように粗引きポンプ6のリスクであり、そしてそのポンプが属する全ての製造装置が停止するおそれがある。
また、上述した放射縮小現象を与える管状プラズマチャンバの直径は、一般に約10mmを上回ることができない。(粗引きポンプ6によって課された)slmの数十オーダーの総流量のために、ガス流の流速は、熱交換(輻射熱拡散)がプラズマにおいて発生する大部分の熱エネルギーがチャンバを冷やす流体によって運び去られるにはあまりに遅いようなものである。窒素または空気のなかで十分な密度のプラズマを維持するのに必要とされるマイクロ波パワーが非常に高いものであるという結果から、かなりのエンタルピーが放電チャンバの下流に運ばれる。この領域内では、ラインが破壊されるのを妨げるために、ガスは例えば水熱交換構造のような冷却手段によって急速に冷却される。これをすることによって、残留物の凝縮のための好適の領域、前記ラインの腐食および/または詰まりが発生し、それゆえに、再び、ポンプ6の下流に圧力低下が増大するおそれがある。
これらの条件下で、本発明の一実施例によれば、プラズマ設備に存在する流れ(current)のすべてに異なり、反応装置の頂部に交換器を伴い、汚染除去反応装置8が上昇流で操作されることから防止される。
さらに、上昇流の場合は、固体残留物と液体残留物は単純に重力の作用でプラズマ室に戻ることができ、その運転を損なうことになる。例えばSF6エッチングの場合に、硫酸(低い蒸気圧をもつ粘性液体)がチューブの内壁を濡らし、その絶縁性を欠乏させることからプラズマのいかなる再起動もできなくなるということが観察された。このため、チューブは洗浄され乾燥されなければならず、その幾何形状ゆえに全部が更に不便で扱い難いものになる。
これらの理由のために、ガス流の流れの方向を逆にすること、すなわちガスを下降流とすることが好ましい。任意に、ドレイン手段、例えば液体の残りがボトム点に流れ出るような交換器−コレクタ構造をチューブのボトム位置に設けることができる。
図2は本発明の処理手段8を示す。処理手段8は、マイクロ波発生器14、導波管18および放電チューブ26を備えている。後者の放電チューブ26は、例えば特許文献4(EP−820801)に記載されているような導電材料からなるスリーブ20内に配置される。
このサーファトロンガイド(surfatron-guide)は、さらに、放電チューブと同軸に設けられた導波管プランジャー46およびチューニングプランジャー48の位置を調整するための手段24,52を備えている。この第2のプランジャーは四分の一波トラップ(quarter-wave trap)を形成する。第2のプランジャーは例えばテフロンでできている摺動ディスク50に固定されている。位置調整手段24,52は、システムのインピーダンスを調整する目的のために手動で動かすことができるロッドである。
図2において、ガスは、上記説明にしたがって下方へ流れるように示されている。さらに図中の参照符号22は、ボトム点に液体の残りを排水するための、チューブ16のボトム位置のドレイン手段を示す。ラインの長さは、実際に後処理システム10に達する製品の性質に影響する。
固体反応吸収剤を伴うシステム10の場合に、プラズマ出口にできるだけ近いところに前記システム10を位置させるようにしてもよい。そのようにすると、システム10は設計されたようにガス状製品のみを処理する。
後処理システム10の仕様は、このプロセスおよびPFC転換プラズマによって生成される副産物(HF、F2、COF2、SOF2などのような腐食性のフッ素で処理されたガス、窒素酸化物など)を考慮して選択されることが好ましい。熱力学平衡からの離脱を利用することは、これらの副産物のそれぞれの濃度を制御するために絶対の柔軟性(absolute flexibility)を提供しない。
さらに、後処理装置10の特有の特徴は、例えば既存のプラントの場合やユーザの前提で設定された汚染除去方法の場合に、プリオリ(priori)を課してもよい。
一般に、冷却手段(図1に図示せず)は、プラズマ源(特に放電室およびガス出口のため特に)に設けられ、電磁エネルギーを供給する。取り出される熱パワーから離れて、例えば濃縮を防ぐために、特定の温度範囲を課すことができる。したがって、冷却回路の構成は、冷却源(プラントの標準の給湯設備のないネットワーク)として実効性があるようにつくられるのが好ましい。
入射高周波パワーは、電磁エネルギー回路およびプラズマ源の両者ともに操作可能なパラメータである。適正エネルギ効率条件(プラズマへのパワーの効果的な伝送)下でプラズマ源が作動するためには、発生器によって反射される(もたらされる)パワーおよびフィールドアプリケーター構造内での加熱損失を最小にすることが考えられる。
プラズマ源の設計に従い、導波管または調整ネジの端部に動くことができる短絡プランジャー46(図2)のような外部の調節手段が、正しいインピーダンス調整を確実にするために使用可能である。
インピーダンス調整は、作動状態(設備始動/停止、多工程プロセス、ドリフトおよび変動)に対して比較的鈍感であってもよい。
空洞に基づくシステムは、例えば表面波システムより「鋭く」、かつ、それは反射パワー測定に追従して働く自動チューニング手段を設けることを示してもよい。一般に、反射パワー(reflected power)は、プラズマ源の適正な動作を特徴づけるパラメータでもあり、故障は一般的に反射パワーの検知可能な増加と関連している。
しかし、これは組織的なものではなく、他の物理的なパラメータは適当な操作の安全(例えばプラズマ(範囲、光度など)に特有の特定のサイン)を確実にするために用いてもよい。そして、それは光学センサまたはプラズマ源における異常な熱変化によって診断されることができる。後者のプラズマ源は、さらに適当な開始手段を備えている。これは、高周波パワーが決められたときに、大気圧で窒素または空気プラズマが自然発生的に開始できないという理由である。
実際には、これらは半導体製造装置内での統合(integration)と操作(operation)に関して制限を設けてもよい。
しかし、一般則として、本発明に従う適当な構造は、半導体分野のプロセス機械を操作する方法と整合し、半導体製造業者の一般的な業務と整合し、例えば方法フェーズの間のみに断続的な動作の場合、適合する停止/開始手順およびコントローラとポンプを結びつけるための堆積/エッチング設備と整合している。
それはまた、半導体製造装置および半導体製作プラント設備床の床面積のコストの構造によってたびたび押し付けられてその不足を原因として生じる床面積を小さくすることとも一致するものである。
図3および図4に示すように、様々な配置を選択することができる。
処理装置8は、図3において機械または反応装置2から、または製造装置の設備床60上の粗引きポンプ6から数メートル(例えば、5m未満)に位置させることができる。反応装置2それ自体は製作ショップ62内に配置されている。
図4の場合には、処理装置8は、よりコンパクトに統合された真空ポンプ6を有し、製作ショップ62の床上の設備2にできる限り近くしている。
ここに1つの特定の実例をあげる。それは、SF6/C4F8エッチング反応装置の表面波システムと関連している。
1.マイクロ波回路およびフィールドアプリケーター
選択した励起周波数は2.45GHzであった。この周波数で、アプリケーション(数kW)に充分なマイクロ波パワーの搬送が導波管を用いて可能である。導波管には、通常合理的サイズの横断面を有するWR340標準を用いる。フィールドアプリケーターはサーファトロンガイド(surfatron-guide)またはサーファガイド(surfaguide)型とすることができ、後者のサーファガイドがより大きい単純性を提供する。サーファガイドは、3ネジ整合子(matcher)を使用することなく、その端部で導波管から離れて近接する可動な短絡回路プランジャーの位置を単に調節することだけによって優れたインピーダンス調整をなしうる。
マイクロ波回路は、
最大6kWまでの調節可能なパワーを有するマイクロ波発生器(切替モード電源およびマグネトロンヘッド)と、
いずれの反射パワーも前記マグネトロンに戻されないように、反射パワーの全てを打ち消すことに適した水チャージを有する循環器と、
入射パワーおよび反射パワーを測定する手段と、
誘電放電チューブと共にプラズマ源を構成するサーファガイド・フィールド・アプリケーターと、
最後に、手動またはモータ駆動により操作され、導波管の端部にてインピーダンス調整する可動な短絡回路プランジャーと、を有する。
2.ガス回路
これは、かなりの熱生成(例えば放電チューブに隣接する直ぐ下流のライン要素のような)がある所で、プラズマ源8および部品の活性な部分を除いてフッ素処理された腐食性製品の耐食材料(すなわちPVDFまたはPFAタイプの重合体)を基本としてできており、その残りは金属またはセラミック材料でできている。
粗引き真空ポンプ6の排出側において、バイパス弁(三方弁または3つ二方弁、適当な構成要素の市販の入手可能なもの)のシステムが、操作のとき又は保守点検期間にガス流が処理システムを通ることを回避することを可能にする。これらの弁は、排出のいかなる時機を逸した閉止も防止するために機械的にまたは電気的に入出力がなされ、圧力の上昇およびポンプの停止の原因になる。プラズマ汚染除去装置8は、処理されるガス流のいかなる過剰な圧力低下も検出するための手段を含む。
放電チューブは二重壁のチューブであり、これらの2つの壁間に液圧ギアポンプで誘電流体を循環させることによって冷却がなされる。この流体は、半導体製作装置の設備に送ってこられる冷えたメイン水と熱交換することによって連続的に順次冷やされる。プラズマと接触する中心チューブは適当なセラミック材料でできており、それは良好な誘電体、すなわち耐火性であり、熱ストレスに耐え、さらに腐食性のフッ素活性種によるで化学的侵食に対しても抵抗するものである。放電チューブに残るガスは、大気圧マイクロ波プラズマであるゆえに高温であってもよいが、一般に熱平衡にないものであり、低圧放電と同様の「冷えた」プラズマではない。したがって、ガスは、下流ラインへ送られる前に、水熱交換器によって冷やされる。この冷却は、局部的に、液状または固体状の生成物の凝縮を引き起こす。設備を危険にさらさせないために、凝縮生成物は適正に集めることが可能であることが望ましい。この理由のために、すでに上述したように、低い位置にある交換器については、下降流となる操作がなされる。適切な叩き落とし(tap-off)は、必要に応じてなされ、規則的な間隔でコレクタを流出させることを可能にする。
腐食性のフッ素処理ガスを中和する装置10は、プラズマの下流に短い距離をおいて取り付けることが好ましい。中和装置10は固体反応吸収剤を有するカートリッジである。吸収剤は、水または水素を使用しないエッチングまたはクリーニングプロセスにおいて主な副産物であるフッ素分子を固定するように設計されていることが好ましい。マタ、ベッドも、より少量ではあるが、SiF4またはWF6のようなエッチング生成物およびプロセスプラズマまたは汚染除去プラズマからの他の分離生成物(例えばCOF2、SOF2など)を保持する。
ガス回路は手動で操作されるかモータ駆動される多くの弁を含み、不活性ガスを備えるシステムの多様な部分を分離して、パージして、フラッシュすることを可能にする。
3.冷却液体回路
半導体製作プラントの設備に送り届けられる水は、プラズマ発生器の切換モード電源およびマグネトロンヘッド、放電チューブを冷やすための誘電流体、およびプラズマチューブの出力側のガスを冷却するために用いられる。熱を誘電流体から引き出すために、実際のコールドメイン(actual cold mains)からの水がプレート交換器の閉回路(約5℃)に使用される。一方、プラズマ発生器の場合には、それが短絡回路の原因となりうる凝縮現象のリスクを負うので望ましくない。したがって、約20℃での「町(town)」水を使用することが好ましく、それは切換モード電源およびマグネトロンヘッドに続く回路およびそれからプラズマから離れたところの交換器−コレクタ内に循環される。実際には、この「町」水を閉回路から送って来るようにしてもよく、多数の機械が設置された場合には、その温度は中央で統制されることが好ましい。
4.プロセスおよび性能の実施例
本発明のプラズマ汚染除去システムを、図1に示すように、アルカテル(ALCATEL)601Eプラズマエッチングマシン2の下流に取付けた。単結晶シリコン(例えば14インチ〜3インチ)をエッチングするための化学薬剤には、流量170sccmのSF6ガスおよび流量75sccmのC48ガスを用いた。実際には、真空ポンプ4,6および出力ラインを通過した後に、ガスを濃度平均オーバー時間にわたってプラズマ汚染除去装置8に入れた。上記に示した濃度に関して、SF6は90sccmの濃度で装置8に入れ、C48を24sccmの濃度で随伴させた。
フッ素で処理された酸性ガスを中和するシステム10は、登録商標クリーンソーブ(CleanSorb)ブランドの市販で入手可能なカートリッジであった。ガス状廃水の流れを、四重極質量分析(quadrupole mass spectrometry)によりシステム内の種々のポイントにおいて分析した。
アルカテル(ALCATEL)エッチングプロセスをPFCガスSF6およびC48に使用した。粗引きポンプ6からの排出は、30slmにて乾燥空気(ほぼ100〜150ppmの残留H2O)で希釈した。SF6およびC48の濃度をエッチング室2(高密度ICP源)の下流で測定した。汚染除去プラズマ内での滅失(destruction)の度合いを、エッチングプロセスそれ自体で以前に解離したものを含まないものとして、当該プラズマに入る濃度に対する当該プラズマを離れる濃度の比率として算出した。
汚染除去プラズマ8からの出力には、2つのPFCの残留物濃度とは別に、以下の副産物の濃度、すなわちSiF4、F2、COF2、SOF2、NO2、SO2、NOFおよび、おそらく、希釈空気内の残留湿分に起因して生じるHFなどの濃度が含まれている。中和カートリッジ10を通り過ぎた後には、空気に対して危険なこれらの汚染物質のどれも、平均であるか制限する露出値より大きい濃度を有するガス流は存在しなかった。
48の減少の程度はほとんど100%であった。そして、残留物濃度が検出ノイズレベルを下回るものであった。SF6の減少の度合いは、種々の状況があるために表1において与える。入射マイクロ波パワーを増加させると、減少の度合い(degree of abatement)がはっきり見られるようになり、プラズマ領域が広く大きくなると言われている。チューブの直径が小さくなるときに、他の条件を同じとすれば、滅失効率が増加する。さらに、ガス流が(上昇するかまたは下降して)流れる方向は、滅失効率にほとんど影響を及ぼさなくて、すでに上述したように特定の危険を回避することを可能にする。
類似の結果がSF6の高い流量(300sccm以下)で得られ、また、より大きい希釈(70slm以下)が他のPFC、例えばC38、NF3、C26、CF4、CHF3などで得られた。
表1において、「プロセス入口」は反応装置2の入口を示し、「解毒入口」は処理装置8の入口を示す。
Figure 0004880194
本発明は半導体部品の製造またはエッチングのためのチャンバ2のコンテキスト内で記載されている。
半導体の製造または成長またはエッチングまたはクリーニングまたは処理のため、または半導体または薄膜装置の処理、または、半導体または導電性または誘電性の薄膜、または、基板(例えばマイクロ部品またはマイクロ光学装置の製造中におけるシリコン基板)のために、チャンバまたは反応装置2の場合に対して、本発明と同じ方法を適用すると、同様の効果が得られる。
また、上述したように本発明と同じ方法を適用すると、再び同様の効果が、マイクロ回路リソグラフィに使用される感光性樹脂を除去する反応装置の場合に得られ、またその他にプラズマクリーニング中に薄膜を堆積させる反応装置の場合にも得られる。
本発明の半導体製造設備を示す図。 プラズマ源を示す図。 半導体製造プラントを模式的に示す概略構成図。 半導体製造プラントを模式的に示す概略構成図。
符号の説明
2…プラズマエッチング装置
4…高真空ポンプ
6…粗引きポンプ
8…プラズマ源(プラズマ汚染除去装置、プラズマ汚染除去反応装置、処理ユニット、反応プラズマモジュール)
10…中和カートリッジ(反応ユニット)
14…マイクロ波発生器
16…チューブ
18…導波管
20…スリーブ
22…ドレイン手段
24,52…位置調整手段
26…放電チューブ
46…導波管プランジャー
48…チューニングプランジャー
50…摺動ディスク
60…製作床面
62…製作ショップ

Claims (2)

  1. 半導体製造プロセスからの流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)を含むガスを大気圧で処理するために、前記被処理ガスが送給されると共に、前記被処理ガスが0.1〜1%となるように希釈用の空気または窒素を10〜50リットル/分で注入することで出口が大気圧と実質的に等しい圧力にあるポンプ手段と、
    前記ポンプ手段の下流において、大気圧下で局所熱力学平衡にないプラズマであって、周波数50MHz以上の高周波電場によって持続されるプラズマを生成するプラズマ生成手段と、
    内部で放射状の放出縮小現象が制限されるようにその内径が8mm乃至4mmとされたプラズマ放電チューブ
    前記内径のプラズマ放電チューブに供給される前記流量の希釈されたガスがプラズマ化されることにより発生する熱を下げるために前記プラズマ放電チューブの下流に設けた冷却手段と、
    を具備し、
    前記プラズマ放電チューブは、前記流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)と希釈ガス中の残留湿分とにより生成された反応生成物の一部が、前記冷却手段により冷却されることで固体及び/又は液体となって、前記プラズマ放電チューブの汚染及び閉塞することを防止するために、前記ガスが上方から下方に通り抜ける構造とされていることを特徴とするガスのプラズマ処理装置。
  2. 半導体製造プロセスからの流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)を含むガスを大気圧で処理するために、前記被処理ガスがポンプに送給されると共に、前記被処理ガスが0.1〜1%となるように希釈用の空気または窒素を10〜50リットル/分で注入することで実質的に大気圧と等しい圧力のガスをポンプ送給し、該被処理ガスをプラズマで処理する方法において、
    ポンプの下流において前記ガスは、内部で放射状の放出縮小現象が制限されるようにその内径が8mm乃至4mmとされたプラズマ放電チューブ内において、
    大気圧下で局所熱力学平衡にないプラズマであって、周波数50MHz以上の高周波電場によって持続されるプラズマとされ、
    前記内径のプラズマ放電チューブに供給される前記流量の希釈されたガスがプラズマ化されることにより発生する熱を下げるために前記プラズマ放電チューブの下流を冷却し、
    前記流出物である過フッ化ガス(PFC)及びハイドロフルオロカーボンガス(HFC)と希釈ガス中の残留湿分とにより生成された反応生成物の一部が前記プラズマ放電チューブの下流において冷却されることで固体及び/又は液体となって、前記プラズマ放電チューブの汚染及び閉塞することを防止するために、前記プラズマを上方から下方に通り抜けるように、前記プラズマ放電チューブ内に導入されることを特徴とするガスのプラズマ処理方法。
JP2003500315A 2001-05-31 2002-05-21 ガスのプラズマ処理装置およびプラズマ処理方法 Expired - Fee Related JP4880194B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0107150A FR2825295B1 (fr) 2001-05-31 2001-05-31 Application des plasmas denses crees a pression atmospherique au traitement d'effluents gazeux
FR01/07150 2001-05-31
PCT/FR2002/001701 WO2002097158A1 (fr) 2001-05-31 2002-05-21 Application des plasmas denses crees a pression atmospherique au traitement d'effluents gazeux

Publications (2)

Publication Number Publication Date
JP2004537396A JP2004537396A (ja) 2004-12-16
JP4880194B2 true JP4880194B2 (ja) 2012-02-22

Family

ID=8863824

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003500315A Expired - Fee Related JP4880194B2 (ja) 2001-05-31 2002-05-21 ガスのプラズマ処理装置およびプラズマ処理方法

Country Status (9)

Country Link
US (2) US20040195088A1 (ja)
EP (1) EP1397529B1 (ja)
JP (1) JP4880194B2 (ja)
KR (1) KR100914575B1 (ja)
CN (1) CN1301342C (ja)
AT (1) ATE354687T1 (ja)
DE (1) DE60218305T2 (ja)
FR (1) FR2825295B1 (ja)
WO (1) WO2002097158A1 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004022200A (ja) * 2002-06-12 2004-01-22 Aisan Ind Co Ltd フッ素吸収装置及び該装置を備えた燃料電池システム
FR2852248B1 (fr) * 2003-03-11 2008-04-25 Air Liquide Procede et installation de desinfection d'un gaz par passage dans un plasma a decharge micro-onde
US20060162740A1 (en) * 2005-01-21 2006-07-27 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using non-equilibrium atmospheric pressure plasma
US8092644B2 (en) * 2003-06-16 2012-01-10 Ionfield Systems, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US20060272674A1 (en) * 2005-06-02 2006-12-07 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
US8092643B2 (en) * 2003-06-16 2012-01-10 Ionfield Systems, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US20060162741A1 (en) * 2005-01-26 2006-07-27 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects with plasma
US8366871B2 (en) * 2003-06-16 2013-02-05 Ionfield Holdings, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US20060272675A1 (en) * 2005-06-02 2006-12-07 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
WO2005000363A2 (en) * 2003-06-16 2005-01-06 Cerionx, Inc. Atmospheric pressure non-thermal plasma device to clean and sterilize the surface of probes, cannulas, pin tools, pipettes and spray heads
FR2863103B1 (fr) * 2003-12-01 2006-07-14 Cit Alcatel Systeme de traitement des gaz par plasma integre dans une pompe a vide
EP1768776A2 (fr) * 2004-07-13 2007-04-04 L'Air Liquide, Société Anonyme à Directoire et Conseil de Surveillance pour l'Etude et L'Exploitation des Procédés Georges Claude Traitement d'effluents gazeux par plasma a pression atmospherique
KR100658374B1 (ko) * 2005-02-28 2006-12-15 엄환섭 반도체 세정 폐가스 제거를 위한 플라즈마 스크러버
US20060237030A1 (en) * 2005-04-22 2006-10-26 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects with plasma
FR2886866B1 (fr) * 2005-06-09 2007-07-20 Air Liquide Procede et dispositif de traitement d'effluents gazeux de procedes industriels
KR100656538B1 (ko) 2005-06-10 2006-12-11 (주)에이오앤 반응로 배기 시스템에서 고형 부산물 생성 방지 장치
GB0523947D0 (en) * 2005-11-24 2006-01-04 Boc Group Plc Microwave plasma system
KR100634173B1 (ko) * 2006-06-23 2006-10-16 주식회사 이즈컨텍 폐가스 처리장치
DE102007013219A1 (de) * 2007-03-15 2008-09-18 Rev Renewable Energy Ventures, Inc. Plasmagestützte Synthese
JP2010533742A (ja) * 2007-07-17 2010-10-28 プラスコエナジー アイピー ホールデイングス,エス.エル.,ビルバオ,シャフハウゼン ブランチ ガス変換の効率を最適化するための手段を含むガス改質システム
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
CN101502757B (zh) * 2009-02-09 2011-05-11 大连海事大学 用于处理PFCs的物理和化学协同净化设备及方法
US9073766B2 (en) 2009-08-25 2015-07-07 Fahs Stagemyer, Llc Methods for the treatment of ballast water
CN102574705A (zh) * 2009-08-25 2012-07-11 法斯-施塔格迈尔有限责任公司 离解分子的方法和用途
FR2981705B1 (fr) 2011-10-19 2013-11-22 Adixen Vacuum Products Dispositif de pompage et de traitement des gaz
TWI455755B (zh) * 2012-04-23 2014-10-11 Resi Corp 用於PFCs廢氣處理之渦流電漿反應器
US8870735B2 (en) * 2012-05-17 2014-10-28 Strategic Environmental & Energy Resources, Inc. Waste disposal
GB2513300B (en) * 2013-04-04 2017-10-11 Edwards Ltd Vacuum pumping and abatement system
KR101475822B1 (ko) * 2014-03-19 2014-12-23 한국기초과학지원연구원 전자파 플라즈마 토치
CN108278641A (zh) * 2017-12-29 2018-07-13 林声坤 一种半导体微波发生器连接结构
CN109513351A (zh) * 2018-12-28 2019-03-26 武汉大学 大规模降解工业废气的装置
US20220161221A1 (en) * 2019-04-05 2022-05-26 Pyrowave Inc. Internally cooled impedance tuner for microwave pyrolysis systems
US11786858B2 (en) 2019-06-06 2023-10-17 Edwards Vacuum Llc Liquid filter apparatus for gas/solid separation for semiconductor processes
US11931682B2 (en) * 2020-09-22 2024-03-19 Edwards Vacuum Llc Waste gas abatement technology for semiconductor processing

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4883570A (en) * 1987-06-08 1989-11-28 Research-Cottrell, Inc. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves
US4892045A (en) * 1988-09-22 1990-01-09 Snyder General Corporation Condensate drain system
US5468356A (en) * 1991-08-23 1995-11-21 The United States Of America As Represented By The Secretary Of The Navy Large scale purification of contaminated air
CA2118081C (en) * 1993-10-14 2006-10-03 Jacobus Swanepoel Production of fluorocarbon compounds
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
US5750823A (en) * 1995-07-10 1998-05-12 R.F. Environmental Systems, Inc. Process and device for destruction of halohydrocarbons
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
IE80909B1 (en) * 1996-06-14 1999-06-16 Air Liquide An improved process and system for separation and recovery of perfluorocompound gases
FR2751565B1 (fr) * 1996-07-26 1998-09-04 Air Liquide Procede et installation de traitement de gaz perfluores et hydrofluorocarbones en vue de leur destruction
FR2757082B1 (fr) * 1996-12-13 1999-01-15 Air Liquide Procede d'epuration d'un gaz plasmagene et installation pour la mise en oeuvre d'un tel procede
FR2762748B1 (fr) * 1997-04-25 1999-06-11 Air Liquide Dispositif d'excitation d'un gaz par plasma d'onde de surface
FR2787677B1 (fr) * 1998-12-22 2001-01-19 Air Liquide Element de canalisation pour dispositif de traitement de gaz et dispositif incorporant un tel element de canalisation
US6689252B1 (en) * 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
JP2001252527A (ja) * 2000-03-13 2001-09-18 Seiko Epson Corp Pfcの処理方法および処理装置
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6383257B1 (en) * 2000-04-04 2002-05-07 Air Products And Chemicals, Inc. Reclamation and separation of perfluorocarbons using condensation
EP1297891B9 (en) * 2000-05-29 2008-07-23 ADTEC Plasma Technology Co., Ltd. Apparatus for processing gases by plasma
US6576573B2 (en) * 2001-02-09 2003-06-10 Advanced Technology Materials, Inc. Atmospheric pressure plasma enhanced abatement of semiconductor process effluent species
US6558635B2 (en) * 2001-03-12 2003-05-06 Bruce Minaee Microwave gas decomposition reactor

Also Published As

Publication number Publication date
DE60218305T2 (de) 2007-11-15
EP1397529B1 (fr) 2007-02-21
FR2825295B1 (fr) 2004-05-28
WO2002097158A1 (fr) 2002-12-05
JP2004537396A (ja) 2004-12-16
ATE354687T1 (de) 2007-03-15
CN1543515A (zh) 2004-11-03
KR20040007619A (ko) 2004-01-24
KR100914575B1 (ko) 2009-08-31
FR2825295A1 (fr) 2002-12-06
DE60218305D1 (de) 2007-04-05
US20100155222A1 (en) 2010-06-24
US20040195088A1 (en) 2004-10-07
EP1397529A1 (fr) 2004-03-17
CN1301342C (zh) 2007-02-21

Similar Documents

Publication Publication Date Title
JP4880194B2 (ja) ガスのプラズマ処理装置およびプラズマ処理方法
Kabouzi et al. Abatement of perfluorinated compounds using microwave plasmas at atmospheric pressure
US6392210B1 (en) Methods and apparatus for RF power process operations with automatic input power control
US20080102011A1 (en) Treatment of effluent containing chlorine-containing gas
JP2009503270A (ja) 表面沈着物を除去するためのnf3の使用方法
US10889891B2 (en) Apparatus for gaseous byproduct abatement and foreline cleaning
Hong et al. Abatement of CF 4 by atmospheric-pressure microwave plasma torch
KR101141873B1 (ko) 실리콘의 에칭 방법
US20050258137A1 (en) Remote chamber methods for removing surface deposits
WO2005090638A2 (en) Remote chamber methods for removing surface deposits
TWI400354B (zh) 處理氣流的方法
US20070028943A1 (en) Method of using sulfur fluoride for removing surface deposits
KR100830246B1 (ko) 반도체 프로세싱의 동안 가스들의 사용 효율을 증가시키기위한 장치와 방법들
JP2007517650A (ja) 高周波放電によるガス処理方法
EP1951407B1 (en) Microwave plasma abatement apparatus
KR101026457B1 (ko) 저압 및 대기압 플라즈마를 이용한 폐가스 제거 시스템
CN102598202B (zh) 用于等离子灰化设备的调谐硬件和使用该调谐硬件的方法
Hong et al. Microwave plasma torch abatement of NF3 and SF6
TWI477485B (zh) 原位產生碳醯氟化物或其任何變異體之分子蝕刻劑之方法及其應用
JP2003236338A (ja) 有機ハロゲン含有ガスの処理方法および装置
JP2010103462A (ja) シリコン含有膜のエッチング方法および装置
JP2013048127A (ja) アッシュ後の側壁の回復
Suzuki et al. High-Efficiency PFC Abatement System Utilizing Plasma Decomposition and Ca (OH) $ _ {2} $/CaO Immobilization
KR20020015796A (ko) 과불화 화합물 가스의 처리 장치
KR20010100087A (ko) 전자파 플라즈마 토치로 불화탄소 가스의 방출제어

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080701

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081001

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081008

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081031

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090407

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090807

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090817

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111006

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111201

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees