JP4605399B2 - Method for forming field effect transistor - Google Patents

Method for forming field effect transistor Download PDF

Info

Publication number
JP4605399B2
JP4605399B2 JP2006280548A JP2006280548A JP4605399B2 JP 4605399 B2 JP4605399 B2 JP 4605399B2 JP 2006280548 A JP2006280548 A JP 2006280548A JP 2006280548 A JP2006280548 A JP 2006280548A JP 4605399 B2 JP4605399 B2 JP 4605399B2
Authority
JP
Japan
Prior art keywords
layer
forming
silicon layer
silicon
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006280548A
Other languages
Japanese (ja)
Other versions
JP2007088486A (en
Inventor
フォーベス,レオナルド
アーン,キー,ワイ.
ルアン, シー. トラン,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2007088486A publication Critical patent/JP2007088486A/en
Application granted granted Critical
Publication of JP4605399B2 publication Critical patent/JP4605399B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)

Description

本発明は、電界効果トランジスタの形成方法、特にはそのトランジスタゲートの形成方法に関する。 The present invention relates to a method for forming a field effect transistor, and more particularly to a method for forming the transistor gate.

半導体装置の形成における継続的な目標は、半導体の所定領域に回路をいかに高密度で形成するかである。そのような目標は、半導体回路素子の寸法をより縮小することによって達成される。例えば、1970年代の初期においては、ダイナミックランダムアクセスメモリ(DRAM)デバイス内の電界効果トランジスタゲートの典型的なゲート長は、5〜6マイクロメータであり、ポリシリコンがゲートの唯一の導電性材料として用いられていた。1980年代後期のDRAM世代の進歩により、ゲート長は約1マイクロメータまで縮小した。しかしながら、導電性ドープトポリシリコンがゲートラインの唯一の導電性要素として用いられた場合、ワードラインの抵抗が高すぎることが分かった。そのために、シリサイド(例えば、タングステンシリサイド、モリブデンシリサイド又はチタンシリサイド)がポリシリコンの上に堆積された。用語“ポリサイド”は、その上にシリサイドを有する導電性ドープトポリシリコンからなるゲート材料の積み重ねを表わすものとして新たに用いられた語である。   A continuing goal in the formation of semiconductor devices is how densely circuits are formed in a predetermined region of the semiconductor. Such a goal is achieved by further reducing the dimensions of the semiconductor circuit elements. For example, in the early 1970s, typical gate lengths for field effect transistor gates in dynamic random access memory (DRAM) devices were 5-6 micrometers, and polysilicon was the only conductive material for the gates. It was used. Due to advances in the DRAM generation in the late 1980s, the gate length was reduced to about 1 micrometer. However, it has been found that the resistance of the word line is too high when conductive doped polysilicon is used as the only conductive element of the gate line. To that end, silicide (eg, tungsten silicide, molybdenum silicide, or titanium silicide) was deposited on the polysilicon. The term “polycide” is a new term used to describe a stack of gate material consisting of conductive doped polysilicon with silicide thereon.

1990年代の技術進歩により、ゲート長は0.2マイクロメータ以下にまで縮小された。ポリサイド材料の抵抗がそのようなゲートには高すぎることが分かった。したがって、その後、ポリサイド構造のシリサイドに代わる金属を提供することが開発された。その目的で用いられる典型的な金属は、タングステン、モリブデンおよびチタンである。そのようなゲートは、現在の技術における新しい構造と言える。   Due to technological advances in the 1990s, the gate length has been reduced to below 0.2 micrometers. It has been found that the resistance of the polycide material is too high for such a gate. Accordingly, it has since been developed to provide metals that replace polycide-structured silicides. Typical metals used for that purpose are tungsten, molybdenum and titanium. Such a gate is a new structure in current technology.

図1は、そのようなゲート構造を具えた電界効果トランジスタ12を有する半導体ウェーハ片10を示す。より具体的には、ウェーハ片10は、その上にゲート構造体16が形成された基板14を有する。ゲート構造体16は、ゲート酸化層20(典型的には二酸化シリコンからなる)、導電性ドープト半導体材料層22(シリコンとゲルマニウムで構成でき、典型的には導電性ドープトポリシリコンである)、導電性拡散バリア層24(典型的には、例えばWNx,TiN等の窒化金属)、金属層26(例えば、タングステン,モリブデン,チタン等で構成できる)、絶縁キャップ28(例えば、窒化シリコン,二酸化シリコン等で構成できる)から成る。   FIG. 1 shows a semiconductor wafer piece 10 having a field effect transistor 12 with such a gate structure. More specifically, the wafer piece 10 has a substrate 14 on which a gate structure 16 is formed. The gate structure 16 includes a gate oxide layer 20 (typically made of silicon dioxide), a conductive doped semiconductor material layer 22 (which can be composed of silicon and germanium, typically conductive doped polysilicon), Conductive diffusion barrier layer 24 (typically, metal nitride such as WNx, TiN, etc.), metal layer 26 (can be composed of, for example, tungsten, molybdenum, titanium, etc.), insulating cap 28 (eg, silicon nitride, silicon dioxide) Etc.).

半導体基板14は、例えば、導電性ドープト単結晶シリコンとすることができる。特許請求の範囲の理解を助ける意味で、明細書中の、用語“半導体基板”又は“半導電性基板”は、半導電性材料からなる如何なる構造体を意味するものであり、それには、勿論これらに限定されるものではないが、半導電性ウェーハ(単体又はその上の他の材料を含む組合体の何れであっても良い)などのバルク半導電性材料、及び半導電性材料層(単体又は他の材料との組合体の何れであっても良い)を含むものである。用語“基板”は、上で説明した半導電性基板に限定される訳ではないが、これを含む如何なる支持構造体をも意味するものである。   The semiconductor substrate 14 can be, for example, conductive doped single crystal silicon. In the description, the term “semiconductor substrate” or “semiconductive substrate” in the sense of helping to understand the claims means any structure made of a semiconductive material, of course, Bulk semiconductive materials such as, but not limited to, semiconductive wafers (which may be either a single piece or a combination including other materials thereon), and a semiconductive material layer ( It may be either a simple substance or a combination with other materials). The term “substrate” is not limited to the semiconductive substrate described above, but is intended to mean any support structure that includes it.

ゲート構造体16は対向する側壁30を有し、また、絶縁スペーサ32がその対向する側壁に沿って設けられている。絶縁スペーサ32は、例えば、窒化シリコンで形成することができる。   The gate structure 16 has opposing sidewalls 30 and an insulating spacer 32 is provided along the opposing sidewalls. The insulating spacer 32 can be formed of, for example, silicon nitride.

ソース/ドレイン領域18はゲート構造体16に隣接して形成され、ゲート構造体16の下側にはチャンネル領域19が画定される。スペーサ32は、ソース/ドレイン領域18が形成される間は、側壁エッジ30からの導電性増強不純物の注入を阻止するのに用いることができ、それにより、側壁30に対して、高不純物濃度ソース/ドレイン領域18の位置を制御することができる。低不純物濃度拡散領域が側壁32の下側、即ち、高不純物濃度ソース/ドレイン領域18とチャンネル領域19との間に形成され、この領域は、傾斜接合領域33を画定する。低不純物濃度拡散領域は、しばしば、側壁32の形成よりも前の段階で形成される。   The source / drain region 18 is formed adjacent to the gate structure 16 and a channel region 19 is defined below the gate structure 16. The spacer 32 can be used to prevent the implantation of conductivity enhancing impurities from the sidewall edge 30 while the source / drain region 18 is formed, thereby providing a high impurity concentration source for the sidewall 30. / The position of the drain region 18 can be controlled. A low impurity concentration diffusion region is formed below the side wall 32, that is, between the high impurity concentration source / drain region 18 and the channel region 19, and this region defines the inclined junction region 33. The low impurity concentration diffusion region is often formed at a stage prior to the formation of the side wall 32.

DRAMデバイスに図1に示す電界効果トランジスタ構造12を用いると、ある問題が発生する。DRAMデバイスは、通常、電源供給電圧を超えたワードライン電圧(所謂、ブーストワードライン電圧)で動作する。したがって、ゲート型DRAM構造に用いられるトランジスタゲートは、他のデバイスよりも大きい電界に晒されることになり、より多くの故障、不良の機会となることがある。また、DRAMのデータ保持時間は、蓄積ノード接合リーク量に依存し、したがって、ゲートとドレイン接合の交わるコーナ部分での電界によって影響される。ゲートとドレイン接合間の電界は、しばしばより多くの接合リーク、即ちゲート誘導ドレイン・リーク(GIDL)を誘発することがある。そのためには、電界、従ってリーク量を減らすために、ゲートとドレインのコーナ部分をより厚いゲート酸化領域とすることが好ましい。   Certain problems arise when the field effect transistor structure 12 shown in FIG. 1 is used in a DRAM device. A DRAM device normally operates at a word line voltage (so-called boost word line voltage) exceeding a power supply voltage. Therefore, the transistor gate used in the gate-type DRAM structure is exposed to a larger electric field than other devices, and there may be more opportunities for failure and failure. The data retention time of the DRAM depends on the storage node junction leakage amount, and is therefore influenced by the electric field at the corner portion where the gate and drain junctions intersect. The electric field between the gate and drain junctions can often induce more junction leakage, ie gate induced drain leakage (GIDL). For this purpose, it is preferable to make the gate and drain corners thicker in order to reduce the electric field and hence the amount of leakage.

トランジスタゲートの完成度を高めるのに用いられる技術の一つは、側壁30の下側に小さい“バーズビーク”構造を形成するために、ゲートに隣接した半導体材料基板の一部を酸化することである。そのような技術が図2に示されており、ここでは、ウェーハ片10が、ゲート構造16の形成の後の、しかしスペーサ32及びソース/ドレイン領域18の形成前のある処理段階として示されている。半導体材料ウェーハ14の上部表面は、ゲート酸化物20と接続する二酸化シリコン層34を形成するために既に酸化処理されている。二酸化シリコン層34は、側壁30の下側に延びるバーズビーク領域36を有する。二酸化シリコン層34はまた、半導体材料層22の側壁エッジに対応する側壁30の一部分に沿って延びている。そのようなエッジ部分は、半導体材料14の上側表面を酸化する間に酸化処理されるからである。   One technique used to enhance the completeness of a transistor gate is to oxidize a portion of a semiconductor material substrate adjacent to the gate to form a small “bird's beak” structure under the sidewall 30. . Such a technique is illustrated in FIG. 2, where the wafer piece 10 is shown as a process step after formation of the gate structure 16 but before formation of the spacers 32 and source / drain regions 18. Yes. The upper surface of the semiconductor material wafer 14 has already been oxidized to form a silicon dioxide layer 34 that connects to the gate oxide 20. The silicon dioxide layer 34 has a bird's beak region 36 that extends below the sidewall 30. The silicon dioxide layer 34 also extends along a portion of the sidewall 30 that corresponds to the sidewall edge of the semiconductor material layer 22. This is because such an edge portion is oxidized during the oxidation of the upper surface of the semiconductor material 14.

図2で示される処理で起こる一つの問題は、半導体材料14が酸化処理される間に、金属層26の側壁エッジが酸化されることである。金属層26の酸化は、酸化金属領域38を形成する。酸化金属領域38の形成に伴う体積膨張は、金属ラインの持ち上げを引き起こし、それは、ゲート構造体16を用いた電界効果トランジスタ構造体の故障を引き起こす結果となる。   One problem that occurs with the process shown in FIG. 2 is that the sidewall edges of the metal layer 26 are oxidized while the semiconductor material 14 is oxidized. Oxidation of the metal layer 26 forms a metal oxide region 38. The volume expansion associated with the formation of the metal oxide region 38 causes the metal line to lift, which results in failure of the field effect transistor structure using the gate structure 16.

金属エッジの酸化を防ぐために用いられていた技術には、湿式水素酸化法と、エッジ部分を保護するために窒化シリコン又は二酸化シリコンを用いる方法がある。さらに、半導体材料14の上側表面を酸化するのに先立って、ゲートスタック内の金属材料のエッジ部分を被覆するために、酸窒化シリコンが用いられていた。   The techniques used to prevent oxidation of the metal edge include a wet hydrogen oxidation method and a method using silicon nitride or silicon dioxide to protect the edge portion. In addition, silicon oxynitride has been used to cover the edge portions of the metal material in the gate stack prior to oxidizing the upper surface of the semiconductor material 14.

上述した問題は、電界効果トランジスタの技術に限られたものではない。そのような問題は、例えば、フラッシュメモリデバイスに用いられるゲートスタック等の他のメモリデバイスで用いられるスタック内でも起こり得ることである。図3は、半導体材料基板52と、その上に形成されたフラッシュメモリデバイスのゲートスタック54とからなる半導体ウェーハ片50を示す。基板52は、p型バックグランド不純物が低濃度にドープされた例えば単結晶シリコンからなる。ゲートスタック54は、ゲート酸化層56(二酸化シリコンからなる)、浮遊ゲート58(半導体材料であり、Si及びGeよりなり、また典型的には導電性ドープトポリシリコンからなる)、中間絶縁層60(二酸化シリコンからなる)、導電性ドープト半導体材料層62(導電性ドープトポリシリコンからなる)、バリア層64(金属窒化物からなる)、金属層66(タングステン、チタン、モリブデンからなる)、絶縁キャップ68(二酸化シリコンからなる)で構成される。図3にはまた、基板52上の酸化層69と、酸化層69の下側でゲートスタック54に隣接して注入された低不純物濃度拡散(LDD)領域71が示されている。このLDD領域71は、基板52内に、n型導電性増強不純物(例えば、リン又は砒素)を注入することによって形成することができる。   The problems described above are not limited to field effect transistor technology. Such a problem can also occur in stacks used in other memory devices such as, for example, gate stacks used in flash memory devices. FIG. 3 shows a semiconductor wafer piece 50 comprising a semiconductor material substrate 52 and a flash memory device gate stack 54 formed thereon. The substrate 52 is made of, for example, single crystal silicon doped with a low concentration of p-type background impurities. The gate stack 54 includes a gate oxide layer 56 (made of silicon dioxide), a floating gate 58 (a semiconductor material made of Si and Ge, and typically made of conductive doped polysilicon), an intermediate insulating layer 60. (Made of silicon dioxide), conductive doped semiconductor material layer 62 (made of conductive doped polysilicon), barrier layer 64 (made of metal nitride), metal layer 66 (made of tungsten, titanium, molybdenum), insulation It is composed of a cap 68 (made of silicon dioxide). 3 also shows an oxide layer 69 on the substrate 52 and a low impurity concentration diffusion (LDD) region 71 implanted adjacent to the gate stack 54 below the oxide layer 69. FIG. The LDD region 71 can be formed by injecting an n-type conductivity enhancing impurity (for example, phosphorus or arsenic) into the substrate 52.

層60,62,64,66,68は、ゲート構造体16に用いられているスタックと全く同一のスタックからなるこことに注目して欲しい。したがって、半導体材料基板52の酸化により、半導体材料14の酸化に関連して先に説明したのと同じ問題が生じ得る。具体的には、半導体材料52の酸化は、スタック54を採用した回路装置の故障を引き起こし得る、金属層66の側壁エッジ部分の酸化を伴うものである。   Note that the layers 60, 62, 64, 66, 68 consist of exactly the same stack as that used for the gate structure 16. Thus, the oxidation of the semiconductor material substrate 52 can cause the same problems as described above in connection with the oxidation of the semiconductor material 14. Specifically, the oxidation of the semiconductor material 52 involves the oxidation of the sidewall edge portions of the metal layer 66 that can cause failure of the circuit device employing the stack 54.

上で説明した図1−3は、導電性及び絶縁性材料からなる上述したスタックの側断面図である。そのような断面図は、スタック内のいろいろな層を表すのに用いられる。図1−3のスタックは、パターンニングされたワードラインの一部としてのスタックの説明に用いることができる。そのような他の説明では、スタックは、それぞれの半導体材料基板を横切って延在するラインの一部と言える(即ち、スタックはライン形状にパターン化することができる)。ソース/ドレイン領域は、そのラインに沿っていろいろな間隔でもって設けられる。したがって、ラインは、ソース/ドレイン領域対のそれぞれの間でゲート構造として機能するトランジスタゲート領域を有することになる。   1-3 described above are side cross-sectional views of the above-described stack of conductive and insulating materials. Such cross-sectional views are used to represent the various layers in the stack. The stack of FIGS. 1-3 can be used to describe the stack as part of a patterned word line. In such other descriptions, a stack can be said to be part of a line that extends across each semiconductor material substrate (ie, the stack can be patterned into a line shape). Source / drain regions are provided at various intervals along the line. Thus, the line will have transistor gate regions that function as gate structures between each of the source / drain region pairs.

本発明の解決しようとする課題は、金属層の側壁エッジの酸化を防止すること、ゲートスタックとワードラインを形成する他の方法を開発することである。   The problem to be solved by the present invention is to prevent oxidation of the sidewall edges of the metal layer and to develop other methods of forming gate stacks and word lines.

本発明は電界効果トランジスタを形成する方法に関する。該方法は、半導体材料基板上にゲート絶縁層を介してドープトシリコン層と該ドープトシリコン層上の金属層とからなるパターン化されたゲートラインを形成する工程と前記パターン化されたゲートラインである前記ドープトシリコン層及び金属層の側壁エッジに沿って、前記ドープトシリコン層よりも不純物が低濃度にドープされている第2シリコン層を形成する工程と前記金属層の側壁エッジに沿ってシリサイドを形成するために、前記第2シリコン層を前記金属と反応させる工程と、前記シリサイドを形成した後、前記シリサイドを残して、前記ドープトシリコン層に対して前記第2シリコン層を選択的に除去する工程と、前記半導体材料基板の表面及び前記ドープトシリコン層の側壁エッジ酸化することにより、前記ゲートラインの側壁エッジの下にバーズビークを形成する工程と、を具備することを特徴とする。 This onset Ming, relates to a method of forming a field effect transistor. The method comprises forming a patterned gate line comprising a doped silicon layer and a metal layer on the doped silicon layer on a semiconductor material substrate via a gate insulating layer, and the patterned gate. along the sidewall edges of the doped silicon layer and the metal layer is a line, a step of the doped silicon layer impurities than to form a second silicon layer which is lightly doped, the side wall edges of the metal layer to form the silicide along, a step of the second silicon layer to react with the metal layer, after forming the silicide, the silicide leaving, the second silicon for the doped silicon layer selectively removing the layer by oxidizing the side wall edge surfaces and the doped silicon layer of the semiconductor material substrate, said gate Characterized by comprising the steps of forming a bird's beak under the side wall edge in the.

本発明の一態様においては、前記半導体材料基板上に二酸化シリコン層を備え、前記パターン化されたゲートラインは、前記ゲート絶縁層となる前記二酸化シリコン層の一部分の上に形成され、前記パターン化されたゲートラインである前記ドープトシリコン層及び金属層の側壁エッジに沿って前記第2シリコン層を形成する前記工程は、前記第2シリコン層を、前記側壁エッジ上及び前記ゲートライン上に形成する工程と、前記第2シリコン層を異方性エッチングすることにより、前記側壁エッジに沿って前記第2シリコン層の一部分を残しつつ、前記ゲートライン上の前記第2シリコン層を選択的に除去する工程と、を具備することを特徴とする。 In one aspect of the present invention, a silicon dioxide layer is provided on the semiconductor material substrate, and the patterned gate line is formed on a portion of the silicon dioxide layer to be the gate insulating layer . Forming the second silicon layer along sidewall edges of the doped silicon layer and the metal layer, which are formed gate lines, forming the second silicon layer on the sidewall edges and on the gate line; And anisotropically etching the second silicon layer to selectively remove the second silicon layer on the gate line while leaving a part of the second silicon layer along the side wall edge. And a step of performing.

金属層の領域上にシリサイド構造を形成することによって、隣接する基板を酸化処理する間、金属層を保護することができた。   By forming a silicide structure on the region of the metal layer, the metal layer could be protected while oxidizing the adjacent substrate.

本発明の好適実施例を添付の図面を参照して説明する。具体的には、本発明は、金属層の領域上にシリサイド構造を形成することによって、隣接する基板を酸化処理する間、金属層を保護するための方法に関する。シリサイドは、金属層の領域を酸化処理環境に晒されることから保護する。   Preferred embodiments of the present invention will be described with reference to the accompanying drawings. Specifically, the present invention relates to a method for protecting a metal layer during oxidation of an adjacent substrate by forming a silicide structure over the region of the metal layer. Silicide protects the region of the metal layer from exposure to an oxidizing environment.

本発明の第1実施例を添付図面の図4−6を参照しながら説明する。最初に図4を参照すると、そこには、その上にゲートスタック104が形成された半導体材料基板102を有する半導体ウェーハ片100が示されている。半導体材料基板102は、例えば、p型バックグランド不純物が低濃度にドープされた単結晶シリコンで構成することができる。ゲートスタック104は、ゲート絶縁層106(例えば、二酸化シリコンからなる)、半導体材料層108(シリコン及びゲルマニウムからなり、典型的には導電性ドープトポリシリコンからなる)、バリア層110(例えば、窒化チタン、窒化タングステン等の窒化金属からなる)、金属層112(好ましくは金属元素のまま、例えば、タングステン、チタン、コバルト、モリブデン等からなる)、及び絶縁キャップ114(例えば、窒化シリコン及び/又は二酸化シリコンからなる)から構成される。   A first embodiment of the present invention will be described with reference to FIGS. 4-6 of the accompanying drawings. Referring initially to FIG. 4, there is shown a semiconductor wafer piece 100 having a semiconductor material substrate 102 with a gate stack 104 formed thereon. The semiconductor material substrate 102 can be made of, for example, single crystal silicon doped with a p-type background impurity at a low concentration. The gate stack 104 includes a gate insulating layer 106 (eg, made of silicon dioxide), a semiconductor material layer 108 (made of silicon and germanium, typically made of conductive doped polysilicon), and a barrier layer 110 (eg, nitrided). A metal layer 112 (preferably made of a metal element, eg, tungsten, titanium, cobalt, molybdenum, etc.) and an insulating cap 114 (eg, silicon nitride and / or dioxide). Made of silicon).

本明細書及び特許請求の範囲の理解の目的で、“シリコン層”として記載されている層は、シリコンからなり、また基本的にはシリコンのみからなっていても良く、しかし、そのような層が基本的にはシリコンのみからなっているとの特別な言及がない限り、必ずしも基本的にはシリコンのみからなっていないと理解すべきである。したがって、“シリコン層”は、例えば、シリコンとゲルマニウムとからなることもできる。さらに、“金属層”は、金属からなり、また基本的には金属のみからなっていても良く、しかし、そのような層が基本的には金属のみからなっているとの特別な言及がない限り、必ずしも基本的には金属のみからなっていないと理解すべきである。   For purposes of understanding the specification and claims, a layer described as a “silicon layer” consists of silicon and may consist essentially of silicon, but such a layer. It should be understood that it is not necessarily essentially composed of silicon unless specifically stated that it is essentially composed only of silicon. Therefore, the “silicon layer” can be made of, for example, silicon and germanium. Furthermore, a “metal layer” may consist of metal and may consist essentially of metal, but there is no specific mention that such a layer consists essentially of metal. As far as it should be understood, it is not necessarily basically composed of metal.

ゲートスタック104は側壁116を有し、そのような側壁は、層106,108,110,112,114の各一部分からなる。本発明の具体例では、層108は第1の層と、また層112は第2の層と言うことができる。層112に対応する側壁116の一部分は第2層画定部分、層108に対応する側壁116の一部分は第1層画定部分と言うことができる。   Gate stack 104 has sidewalls 116, such sidewalls comprising portions of layers 106, 108, 110, 112, 114. In embodiments of the invention, layer 108 can be referred to as a first layer and layer 112 can be referred to as a second layer. A portion of the sidewall 116 corresponding to the layer 112 can be referred to as a second layer defining portion, and a portion of the sidewall 116 corresponding to the layer 108 can be referred to as a first layer defining portion.

基板102は上側表面118を有し、その一部はゲートスタック104によって覆われており、またその他の一部はゲートスタック104を超えて延在している。エッチストップ層117が基板102の上側表面118上に形成される。そのようなエッチストップ層は、例えば酸化シリコン又は窒化シリコンからなる。シリコン層120は、基板102の上側表面118の上(より具体的には、エッチストップ層117の上)、ゲートスタック104の側壁116に沿って、及びゲートスタック104の上側表面上に形成される。層120は、例えば、非結晶又は多結晶状態のシリコンからなり、典型的には、その厚さが約100オングストロームから約200オングストロームに形成される。シリコン層120は、その層が側壁上及びゲートスタック104上に整合して横たわるように、化学気相堆積によって形成することができる。一般的には、層120が非結晶シリコンからなるか多結晶シリコンからなるかの違いは、堆積温度によって決まり、非結晶シリコンの場合にはその温度が約500℃から約550℃で堆積され、多結晶シリコンの場合にはその温度が約580℃から625℃で堆積される。   The substrate 102 has an upper surface 118, part of which is covered by the gate stack 104 and the other part extends beyond the gate stack 104. An etch stop layer 117 is formed on the upper surface 118 of the substrate 102. Such an etch stop layer is made of, for example, silicon oxide or silicon nitride. The silicon layer 120 is formed on the upper surface 118 of the substrate 102 (more specifically, on the etch stop layer 117), along the sidewall 116 of the gate stack 104, and on the upper surface of the gate stack 104. . The layer 120 is made of, for example, amorphous or polycrystalline silicon, and is typically formed to a thickness of about 100 angstroms to about 200 angstroms. The silicon layer 120 can be formed by chemical vapor deposition such that the layer lies in alignment on the sidewalls and on the gate stack 104. In general, the difference between whether layer 120 is made of amorphous silicon or polycrystalline silicon depends on the deposition temperature, and in the case of amorphous silicon, the temperature is deposited at about 500 ° C. to about 550 ° C., Polycrystalline silicon is deposited at a temperature of about 580 ° C. to 625 ° C.

本発明の特別な実施例では、シリコン層120は、シリコン含有層108とは異なった組成からなる。組成におけるそのような違いは、例えば、シリコン層108に対するシリコン層120内の不純物濃度の違いに相当する。例えば、層108は、層108を電気的に導電性とするために、少なくとも1×1018atom/cmの導電性増強不純物濃度を有する。したがって、層120には、この層120と層180との間に差を設けるために、1×1018atom/cmよりも低い導電性増強不純物濃度を提供することができる。そのような差は、後の過程において、層120を層108に対して選択的に除去するのに利用することができる。具体的実施例では、層120は、導電性増強不純物が殆どドープされないように提供することができる。ここで、用語“殆どドープされない”とは、不純物濃度が1×1015atoms/cmと同等又はそれ以下を意味するものと理解すべきである。“殆どドープされていない”シリコン層と“ドープされていない”シリコン層との違いは、後者が不純物濃度が約0であるのに対して、前者の殆どドープされていない”の不純物濃度が0から高くても約1015atoms/cmまでであることである。 In a particular embodiment of the present invention, the silicon layer 120 has a different composition than the silicon-containing layer 108. Such a difference in composition corresponds to, for example, a difference in impurity concentration in the silicon layer 120 with respect to the silicon layer 108. For example, layer 108 has a conductivity enhancing impurity concentration of at least 1 × 10 18 atoms / cm 3 to make layer 108 electrically conductive. Thus, the layer 120 can be provided with a conductivity enhancing impurity concentration lower than 1 × 10 18 atoms / cm 3 to provide a difference between the layer 120 and the layer 180. Such differences can be used to selectively remove layer 120 relative to layer 108 in a later process. In a specific embodiment, layer 120 can be provided such that the conductivity enhancing impurity is hardly doped. Here, the term “mostly undoped” should be understood to mean an impurity concentration equal to or less than 1 × 10 15 atoms / cm 3 . The difference between the “mostly undoped” silicon layer and the “undoped” silicon layer is that the latter has an impurity concentration of about 0, whereas the former has an impurity concentration of 0. Up to about 10 15 atoms / cm 3 at most.

ある態様では、層120は、シリコン層108によって画定される側壁116の一部分と、金属層112によって画定される一部分の双方に沿って延在する第3の層と呼ぶことができる。パターン化されたラインは、第1の層と第2の層とを有するように形成される。第1の層はシリコンからなり、第2の層は金属からなる。ラインは、第1層画定部分と第2層画定部分とを有する少なくとも一つの側壁エッジを有する。第3の層が、少なくとも一つの側壁エッジに沿って形成される。第3の層はシリコンからなり、側壁エッジの第1層画定部分と側壁エッジの第2層画定部分に沿っている。第3の層のシリコンは、側壁エッジの第2層画定部分に沿ったシリサイドを形成するために、第2の層の金属と反応させられる。第3の層のシリコンは、第1の層のシリコンと、第2の層の金属と、シリサイドを残して除去される。   In certain aspects, layer 120 may be referred to as a third layer that extends along both a portion of sidewall 116 defined by silicon layer 108 and a portion defined by metal layer 112. The patterned line is formed to have a first layer and a second layer. The first layer is made of silicon, and the second layer is made of metal. The line has at least one sidewall edge having a first layer defining portion and a second layer defining portion. A third layer is formed along at least one sidewall edge. The third layer is made of silicon and is along the first layer defining portion of the sidewall edge and the second layer defining portion of the sidewall edge. The third layer of silicon is reacted with the second layer of metal to form a silicide along the second layer defining portion of the sidewall edge. The third layer of silicon is removed leaving the first layer of silicon, the second layer of metal, and silicide.

図5は、シリサイド領域122を形成するために、層120のシリコンを層112の金属と反応させる環境に晒された後のウェーハ片100を示す。典型的な反応条件は、ウェーハ片100を、不活性ガス環境で、900℃で約20分間アニール処理することである。これに代わる反応条件は、10秒間950℃まで急速温度処理(RTP)することである。この第2の反応条件は、シリコン層120と108との間で不純物の再拡散を防ぐ意味で好ましいと言える。   FIG. 5 shows the wafer piece 100 after being exposed to an environment in which the silicon of layer 120 reacts with the metal of layer 112 to form silicide regions 122. A typical reaction condition is to anneal the wafer piece 100 at 900 ° C. for about 20 minutes in an inert gas environment. An alternative reaction condition is a rapid temperature treatment (RTP) to 950 ° C. for 10 seconds. This second reaction condition is preferable in terms of preventing re-diffusion of impurities between the silicon layers 120 and 108.

図6を参照すると、層120(図5参照)は、側壁116に沿ったシリサイド領域122を残して、既に除去されている。層120の除去は、例えば、時限エッチング、より具体的な例としては、時限反応性イオンエッチング、ウェットエッチング、高密度プラズマエッチング等により行うことができる。エッチングは、シリコン層108の側壁の内側に簡単に認知できる程に(ここで“簡単に認知できるエッチング”とは側壁内に5オングストロームより多く進行するようなエッチングを意味する)エッチングが進行しないことが好ましく、また、エッチングは、基板102の上側表面118にまで進まず、エッチストップ層117の上でその進行が停止することが好ましい。シリコン層120(図5参照)がシリコン層108とは異なった組成によりなっている実施例では、特別なエッチング技術を用いることができる。そのような実施例では、シリコン層120をシリコン層108に対して選択的に除去するのに、そのような組成の違いを有利に用いてエッチングを行うことができる。例えば、もしシリコン層120がシリコン層108よりも不純物濃度が低ければ、そのような不純物濃度が低いシリコンは、水酸化テトラメチルアンモニウム(TMAH)エッチング溶液を用いて選択的に除去することができる。 Referring to FIG. 6, layer 120 (see FIG. 5) has already been removed leaving a silicide region 122 along sidewall 116. The removal of the layer 120 can be performed by, for example, timed etching, more specifically, timed reactive ion etching, wet etching, high-density plasma etching, or the like. Etching does not proceed to such an extent that it can be easily recognized inside the sidewall of the silicon layer 108 (here, “easily recognized etching” means etching that proceeds more than 5 angstroms in the sidewall). It is also preferable that the etching does not proceed to the upper surface 118 of the substrate 102 and that the progress stops on the etch stop layer 117. In embodiments where the silicon layer 120 (see FIG. 5) has a different composition than the silicon layer 108, special etching techniques can be used. In such embodiments, the silicon layer 12 0 to be selectively removed against the silicon layer 108 can be etched by using the difference in such composition advantageously. For example, the lower the silicon layer 12 0 is the silicon layer 108 by remote impurity concentration if such impurity concentration silicon is low, is selectively removed using a tetramethyl ammonium hydroxide (TMAH) etching solution Can do.

図7は、酸化環境に晒した後のウェーハ片110を示す。エッチストップ層117は、基板を酸化環境に晒す前に基板102上から剥離することができ、またはそのまま残っていても良い。もしそれが二酸化シリコンを有する場合には、酸化環境に下部基板を晒すまで、延ばすことができる。酸化環境は、基板102の上側表面118からシリコンを二酸化シリコンの層130に取り込み、また、層108の側壁からシリコンを二酸化シリコンに取り込む。さらに、酸化により、ゲートスタック104の側壁エッジの下に小さなバーズビーク132を形成する。しかしながら、従来技術(図2は例示的従来技術処理方法での結果を示す)とは対照的に、酸化が、金属層112の側壁を酸化していない。むしろ、シリサイド領域122が、酸化処理の間、金属層112の側壁を保護していたことになる。 FIG. 7 shows the wafer piece 110 after exposure to an oxidizing environment. The etch stop layer 117 can be stripped from the substrate 102 prior to exposing the substrate to the oxidizing environment, or it may remain intact. If it has silicon dioxide, it can be extended until the lower substrate is exposed to an oxidizing environment. The oxidizing environment incorporates silicon from the upper surface 118 of the substrate 102 into the silicon dioxide layer 130 and silicon from the sidewalls of the layer 108 into the silicon dioxide. In addition, a small bird's beak 132 is formed under the sidewall edge of the gate stack 104 by oxidation. However, in contrast to the prior art (FIG. 2 shows the results with an exemplary prior art processing method), the oxidation does not oxidize the sidewalls of the metal layer 112. Rather, the silicide region 122 protected the sidewalls of the metal layer 112 during the oxidation process.

図8−10は、シリコン層120(図5参照)がシリコン層108に対して選択的に除去可能な状態に変換される本発明の他の実施例を示す。先ず図8を参照すると、この図は、図5に示す処理過程の後の処理過程におけるウェーハ片100を示す。具体的には、図5のシリコン層120は、酸化環境に晒されることにより、層が二酸化シリコン層140に変換されている。これに続く処理過程、例えば、希釈HF溶液内での酸化層140のウェットエッチングにより、図6の構造を形成するために、非酸化シリコン層108に対して、酸化層140を選択的に除去することができる(この場合、側壁エッジ116内へのある程度のエッチング進行は起こり得るかも知れない)。 8-10, (see FIG. 5) silicon layer 120, illustrates another embodiment of the present invention for the silicon layer 108 is converted into selectively removable state. Referring first to FIG. 8, this figure shows the wafer piece 100 in a process after the process shown in FIG. Specifically, the silicon layer 120 of FIG. 5 has been converted to a silicon dioxide layer 140 by exposure to an oxidizing environment. Subsequent processing steps, such as wet etching of the oxide layer 140 in dilute HF solution, selectively removes the oxide layer 140 relative to the non-silicon oxide layer 108 to form the structure of FIG. (In this case, some etching progression into the sidewall edge 116 may occur).

図9は、二酸化シリコン層140の上に第2絶縁層143が形成された後のウェーハ片100を示す(第2絶縁層は、例えば、酸化シリコン又は窒化シリコンで構成することができる)。二酸化シリコン層140と第2絶縁層143は共に、絶縁スペーサ142を形成するために、異方性エッチング処理されている。二酸化シリコンを異方性エッチングする典型的な条件は、二酸化シリコンを、磁気ループ放電プラズマ内でエッチングすること、または、反応性イオンエッチングにおいて、フッ素含有プラズマを利用することである。材料142と材料143の異方性エッチングの後に、基板102上に層117が残留して示されていることが分かる。層117が窒化シリコンからなり、且つ材料142,143が共に二酸化シリコンからなる実施例においては、層117は、層142と層143を異方性エッチングしている間、基板102を保護するためのエッチストップ層として機能する。これに代わり、もし層117が二酸化シリコンからなり、且つ材料142と143も二酸化シリコンからなる場合には、層117は、材料142と143を時限異方性エッチング処理している間、基板102を保護するための、基板102上のバッファを形成する。   FIG. 9 shows the wafer piece 100 after the second insulating layer 143 is formed on the silicon dioxide layer 140 (the second insulating layer can be composed of, for example, silicon oxide or silicon nitride). Both the silicon dioxide layer 140 and the second insulating layer 143 are anisotropically etched to form the insulating spacer 142. Typical conditions for anisotropically etching silicon dioxide are to etch silicon dioxide in a magnetic loop discharge plasma or to utilize a fluorine-containing plasma in reactive ion etching. It can be seen that layer 117 is shown remaining on substrate 102 after anisotropic etching of materials 142 and 143. In embodiments where layer 117 is made of silicon nitride and materials 142 and 143 are both made of silicon dioxide, layer 117 protects substrate 102 while anisotropically etching layers 142 and 143. It functions as an etch stop layer. Alternatively, if layer 117 is made of silicon dioxide and materials 142 and 143 are also made of silicon dioxide, layer 117 can be applied to substrate 102 during time anisotropic etching of materials 142 and 143. A buffer on the substrate 102 is formed for protection.

図10は、ゲートスタック104に隣接してソース/ドレイン領域146を形成するために、ウェーハ片100に注入された不純物144を示す。ソース/ドレイン領域146は、スペーサ142を用いて位置整合がなされる。その後の処理過程(図示せず)において、スペーサ142は除去することができ、基板102は、図7の構造132に相似の小さいバーズビーク構造を形成するために酸化処理することができる。さらに、傾斜接合領域を、スペーサ142が除去された後、ソース/ドレイン領域146に隣接して注入することができる。これに代えて、傾斜接合部(例えば、LDD又は“リンクアップ”領域)を、図4に示す処理に先立つ処理過程において注入することができる。そのような処理過程は、例えば、ゲートスタック104の形成後であって、且つシリコン層120の堆積前に行うことができる。   FIG. 10 shows the impurities 144 implanted into the wafer piece 100 to form source / drain regions 146 adjacent to the gate stack 104. Source / drain regions 146 are aligned using spacers 142. In a subsequent processing step (not shown), the spacer 142 can be removed and the substrate 102 can be oxidized to form a bird's beak structure similar to the structure 132 of FIG. In addition, a graded junction region can be implanted adjacent to the source / drain region 146 after the spacer 142 is removed. Alternatively, graded junctions (eg, LDD or “link-up” regions) can be injected during the process prior to the process shown in FIG. Such a process can be performed, for example, after formation of the gate stack 104 and before deposition of the silicon layer 120.

さらに他の本発明の方法を、図11−13を参照しながら説明する。図11を参照すると、ウェーハ片100は、図4の処理過程の後のある処理過程のものとして示されており、より具体的には、シリコン層120(図4参照)の上に材料153が提供され、その材料153とシリコン層120の両方が、異方性エッチング処理された状態で示されている。材料153は、例えば、二酸化シリコン又は窒化シリコンとすることができる。異方性エッチングは、ゲートスタック104上からシリコン層を除去すると共に、側壁エッジ116に沿ってシリコン層の一部分150,152を残す。   Still another inventive method will be described with reference to FIGS. 11-13. Referring to FIG. 11, wafer piece 100 is shown as being in a process after the process of FIG. 4, and more specifically, material 153 is deposited on silicon layer 120 (see FIG. 4). Provided, both the material 153 and the silicon layer 120 are shown as being anisotropically etched. The material 153 can be, for example, silicon dioxide or silicon nitride. The anisotropic etch removes the silicon layer from over the gate stack 104 and leaves portions 150, 152 of the silicon layer along the sidewall edge 116.

図12を参照すると、ウェーハ片100は、シリサイド領域154を形成するために、一部分150,152のシリコンを金属層112と反応させる適当な環境に晒した後のものとして示されている。そのような適当な条件は、例えば、図5に関連して前に説明した条件とすることができる。   Referring to FIG. 12, wafer piece 100 is shown after exposure to a suitable environment in which portions 150 and 152 of silicon react with metal layer 112 to form silicide regions 154. Such suitable conditions can be, for example, the conditions described above in connection with FIG.

図13を参照すると、異方性にエッチングされた材料153が、不純物156の注入過程の間、一部分150,152と共に、スペーサとして用いられている。注入不純物は、ソース/ドレイン領域158を形成する。傾斜接合領域190(例えば、LDD領域又はハロー領域)も示されており、この領域は、例えば、傾斜角度注入法で形成することができる。ソース/ドレイン領域158及び傾斜接合領域190の形成後、スタック104は、電界効果トランジスタのゲートであって、ソース/ドレイン領域158を互いにゲート状に接続するゲートを持つことになる。スタック104は、その下に、ソース/ドレイン領域158の間に位置するチャンネル領域160を画定する。さらに後の過程(図示せず)で、一部分150と152は除去することができる。そのような更なる処理過程は、図6に関連して上で説明した処理過程と類似したものであり、拡散領域158の形成前又は形成後に行うことができる。   Referring to FIG. 13, an anisotropically etched material 153 is used as a spacer, along with portions 150 and 152, during the impurity 156 implantation process. The implanted impurities form source / drain regions 158. Also shown is a tilted junction region 190 (eg, an LDD region or a halo region), which can be formed, for example, by tilt angle implantation. After the formation of the source / drain region 158 and the sloped junction region 190, the stack 104 has a gate that is a gate of the field effect transistor and connects the source / drain region 158 to each other in a gate shape. The stack 104 defines a channel region 160 located below it between the source / drain regions 158. In a later step (not shown), portions 150 and 152 can be removed. Such further processing is similar to the processing described above in connection with FIG. 6 and can be performed before or after formation of the diffusion region 158.

本発明の更に他の態様では、スタック104に沿って一部分150と152のみを残すのに、材料153の使用を避けることができる。さらに、図6に示される構造と同じ構造を形成するために、一部分150と152は、ソース/ドレイン領域への注入に先立って除去することができる。そのような構造は、図7に関連して説明した酸化処理によるものとすることができる。それに代えて、そのような酸化処理は、ソース/ドレイン領域158の形成後、一部分150と152の何れかをそのままにした状態で、又は、一部分150と152を除去した後に実行することができる。   In yet another aspect of the invention, the use of material 153 can be avoided to leave only portions 150 and 152 along the stack 104. Further, portions 150 and 152 can be removed prior to implantation into the source / drain regions to form the same structure as shown in FIG. Such a structure may be due to the oxidation process described in connection with FIG. Alternatively, such oxidation treatment can be performed after formation of source / drain region 158, with either portion 150 and 152 left intact, or after portions 150 and 152 are removed.

本発明による他の方法を、図14−17を参照しながら説明する。図14−17を参照するに当たり、図4−13で用いた参照符号と基本的に同じ参照符号を用い、異なる部分には添え字“a”を付して示すこととする。   Another method according to the present invention is described with reference to FIGS. 14-17. In referring to FIGS. 14-17, the same reference numerals as those used in FIG. 4-13 are used, and different parts are indicated by a suffix “a”.

図14は、図4のウェーハ片100に類似した半導体ウェーハ片100aを示す。図14のウェーハ片100aと図4のウェーハ片100の違いは、ウェーハ片100aが、スタック104を超えて外側に延在する部分と、またスタック104の下側の部分とを有した二酸化シリコン層106aを有している点である。これとは対照的に、図4のウェーハ片100は、シリコン層108の側壁、従ってゲートスタック104の側壁116の一部分と共通の広がりを持った側壁を具えるようにパターン化された二酸化シリコン層106を有する。   FIG. 14 shows a semiconductor wafer piece 100a similar to the wafer piece 100 of FIG. The difference between the wafer piece 100a of FIG. 14 and the wafer piece 100 of FIG. 4 is that the wafer piece 100a has a portion that extends outward beyond the stack 104 and a lower portion of the stack 104. 106a. In contrast, the wafer piece 100 of FIG. 4 has a silicon dioxide layer patterned to include sidewalls that are coextensive with the sidewalls of the silicon layer 108 and thus a portion of the sidewalls 116 of the gate stack 104. 106.

ウェーハ片100aは、図4のウェーハ片100のと同じシリコン層120を有しており、そのようなシリコン層は、図5−13に関連して上で説明したのと同じように処理することができる。ある特定の処理過程を、図15−17を参照しながら説明する。図15を参照すると、シリコン層120(図14参照)が、その層をゲートスタック104の側壁エッジ116に沿って延在する延在部170に変換するための異方性エッチング処理された後のウェーハ片100aが示されている。図示のエッチングは、酸化層106aの所で停止している。そのようなエッチング停止は、層120のエッチングが二酸化シリコン層106aの所で止まるような時限エッチングとするか、又は、二酸化シリコン層106aに対するシリコン材料層のエッチングが選択的となるような条件のエッチング液を用いることによって達成される。本発明の他の実施例(図示せず)では、エッチングが層106aの所では停止せず、むしろ層106aを通り抜けて基板102まで延びるような条件を用いることもできる。そのような条件でのエッチングは、層106aを、ゲートスタック104と延在部170の下にのみある(即ち、延在部170を超えてその外側には延び出ない)絶縁層にパターン化する。   Wafer piece 100a has the same silicon layer 120 as wafer piece 100 of FIG. 4, and such silicon layer is processed in the same manner as described above in connection with FIGS. 5-13. Can do. A specific process will be described with reference to FIGS. 15-17. Referring to FIG. 15, after the silicon layer 120 (see FIG. 14) has been anisotropically etched to convert the layer into an extension 170 that extends along the sidewall edge 116 of the gate stack 104. A wafer piece 100a is shown. The illustrated etching stops at the oxide layer 106a. Such an etch stop may be a timed etch that stops the etching of layer 120 at silicon dioxide layer 106a, or an etch that is selective to etch the silicon material layer relative to silicon dioxide layer 106a. This is achieved by using a liquid. In other embodiments of the present invention (not shown), conditions may be used such that etching does not stop at layer 106a, but rather extends through layer 106a to substrate 102. Etching under such conditions patterns layer 106a into an insulating layer that is only under gate stack 104 and extension 170 (ie, does not extend beyond extension 170 beyond). .

図16を参照すると、ウェーハ片100aは、延在部170からのシリコンを層112からの金属と反応させ、シリサイド延在部172を形成するような条件に置かれている。シリコンと金属を反応させるための適当な条件は、図5に関連して前に説明した条件と言える。   Referring to FIG. 16, wafer piece 100a is subjected to conditions such that silicon from extension 170 reacts with the metal from layer 112 to form silicide extension 172. Appropriate conditions for reacting silicon and metal may be those previously described in connection with FIG.

図17を参照すると、延在部170(図16参照)は、金属部112の側壁を保護するシリサイド領域172を残して、除去されている。図16の処理過程において延在部170によって被覆されていた二酸化シリコン層106aの一部分は、延在部170が除去された後も残存している。延在部170の除去後、ウェーハ片100aは、図7に関連して前に説明したのと同じような酸化環境に晒すこともできる。本発明のこれに代わる実施例では、延在部170は、図13に関連して既に説明したのと同じような処理により、ソース/ドレイン領域を形成するための不純物の注入処理の間、スペーサとして用いることができる。   Referring to FIG. 17, the extension portion 170 (see FIG. 16) is removed leaving a silicide region 172 that protects the sidewall of the metal portion 112. A part of the silicon dioxide layer 106a covered with the extension 170 in the process of FIG. 16 remains even after the extension 170 is removed. After removal of the extension 170, the wafer piece 100a can be exposed to an oxidizing environment similar to that previously described in connection with FIG. In an alternative embodiment of the present invention, the extension 170 is a spacer formed during the impurity implantation process to form source / drain regions by a process similar to that already described in connection with FIG. Can be used as

以上説明した通り、本発明は、電界効果トランジスタ構造を形成する方法に関連して説明したが、本発明は、例えばフラッシュメモリ装置等の他のメモリ装置を形成する方法にも適用できるものである。図4−17に関連して説明した、フラッシュメモリ装置を形成するための技術を利用した典型的な方法は、浮遊ゲート構造体(典型的な浮遊ゲート構造は図3に示されている)の上に、図4−17で説明されたゲートスタックを形成することである。ゲートスタックは、図3に関連して説明した絶縁体60等の介在絶縁体によって浮遊ゲートから一般的には勿論分離される。   As described above, the present invention has been described in relation to a method of forming a field effect transistor structure, but the present invention can also be applied to a method of forming another memory device such as a flash memory device. . A typical method utilizing the technique for forming a flash memory device described in connection with FIGS. 4-17 is the floating gate structure (a typical floating gate structure is shown in FIG. 3). The gate stack described in FIGS. 4-17 is formed on the top. The gate stack is generally of course separated from the floating gate by an intervening insulator such as the insulator 60 described in connection with FIG.

図1は、従来の電界効果トランジスタ装置を表わした半導体ウェーハ片の概略側断面図である。FIG. 1 is a schematic cross-sectional side view of a semiconductor wafer piece representing a conventional field effect transistor device. 図2は、従来のゲート構造を表わした半導体ウェーハ片の概略側断面図である。FIG. 2 is a schematic cross-sectional side view of a semiconductor wafer piece representing a conventional gate structure. 図3は、フラッシュメモリ装置のための従来のゲート構造を表わした半導体ウェーハ片の概略側断面図である。FIG. 3 is a schematic cross-sectional side view of a semiconductor wafer piece representing a conventional gate structure for a flash memory device. 図4は、本発明による方法の前処理過程におけるゲート構造を表わした半導体ウェーハ片の概略側断面図である。FIG. 4 is a schematic cross-sectional side view of a semiconductor wafer piece representing the gate structure during the pretreatment process of the method according to the invention. 図5は、図4の処理過程に続くある処理過程における図4の構造を示す、図4のウェーハ片の側断面図である。5 is a side cross-sectional view of the wafer piece of FIG. 4 showing the structure of FIG. 4 in a process subsequent to the process of FIG. 図6は、図5の処理過程に続くある処理過程における図4の構造を示す、図4のウェーハ片の側断面図である。6 is a cross-sectional side view of the wafer piece of FIG. 4 showing the structure of FIG. 4 in a process subsequent to the process of FIG. 図7は、図6の処理過程に続くある処理過程における図4の構造を示す、図4のウェーハ片の側断面図である。7 is a cross-sectional side view of the wafer piece of FIG. 4 showing the structure of FIG. 4 in a process subsequent to the process of FIG. 図8は、本発明による他の方法によって処理され、図4の処理過程の後のある処理過程での図4のウェーハ片の側断面図である。FIG. 8 is a cross-sectional side view of the wafer piece of FIG. 4 processed by another method according to the present invention and in a process after the process of FIG. 図9は、図8の処理過程に続くある処理過程における図4のウェーハ片の側断面図である。9 is a cross-sectional side view of the wafer piece of FIG. 4 in a process subsequent to the process of FIG. 図10は、図9の処理過程に続くある処理過程における図4のウェーハ片の側断面図である。10 is a cross-sectional side view of the wafer piece of FIG. 4 in a process subsequent to the process of FIG. 図11は、本発明の更に他の方法による、図4の処理過程の後のある過程での図4のウェーハ片の側断面図である。FIG. 11 is a cross-sectional side view of the wafer piece of FIG. 4 at a stage after the process of FIG. 4 according to yet another method of the present invention. 図12は、図11の処理過程に続くある処理過程における図4のウェーハ片の側断面図である。12 is a cross-sectional side view of the wafer piece of FIG. 4 in a process subsequent to the process of FIG. 図13は、図12の処理過程に続くある処理過程における図4のウェーハ片の側断面図である。13 is a cross-sectional side view of the wafer piece of FIG. 4 in a process subsequent to the process of FIG. 図14は、本発明の更に他の方法の前処理過程における半導体ウェーハ片の概略側断面図である。FIG. 14 is a schematic cross-sectional side view of a semiconductor wafer piece in the pretreatment process of still another method of the present invention. 図15は、図14の処理過程に続くある処理過程における図14のウェーハ片の側断面図である。15 is a cross-sectional side view of the wafer piece of FIG. 14 in a process subsequent to the process of FIG. 図16は、図15の処理過程に続くある処理過程における図14のウェーハ片の側断面図である。16 is a cross-sectional side view of the wafer piece of FIG. 14 in a process subsequent to the process of FIG. 図17は、図16の処理過程に続くある処理過程における図14のウェーハ片の側断面図である。17 is a cross-sectional side view of the wafer piece of FIG. 14 in a process subsequent to the process of FIG.

符号の説明Explanation of symbols

10,100 半導体ウェーハ片
22,108 半導体材料
26,112 金属層
28,114 絶縁キャップ
116 側壁
120 シリコン層
122 シリサイド領域
130,140 二酸化シリコン層
142 絶縁スペーサ
DESCRIPTION OF SYMBOLS 10,100 Semiconductor wafer piece 22,108 Semiconductor material 26,112 Metal layer 28,114 Insulation cap 116 Side wall 120 Silicon layer 122 Silicide area | region 130,140 Silicon dioxide layer 142 Insulation spacer

Claims (8)

電界効果トランジスタを形成する方法であって、該方法は、
半導体材料基板上にゲート絶縁層を介してドープトシリコン層と該ドープトシリコン層上の金属層とからなるパターン化されたゲートラインを形成する工程と、
前記パターン化されたゲートラインである前記ドープトシリコン層及び金属層の側壁エッジに沿って、前記ドープトシリコン層よりも不純物が低濃度にドープされている第2シリコン層を形成する工程と、
前記金属層の側壁エッジに沿ってシリサイドを形成するために、前記第2シリコン層を前記金属層と反応させる工程と、
前記シリサイドを形成した後、前記シリサイドを残して、前記ドープトシリコン層に対して前記第2シリコン層を選択的に除去する工程と、
前記半導体材料基板の表面及び前記ドープトシリコン層の側壁エッジを酸化することにより、前記ゲートラインの側壁エッジの下にバーズビークを形成する工程と、
を具備することを特徴とする電界効果トランジスタを形成する方法。
A method of forming a field effect transistor, the method comprising:
Forming a patterned gate line comprising a doped silicon layer and a metal layer on the doped silicon layer via a gate insulating layer on a semiconductor material substrate;
Forming a second silicon layer doped with a lower concentration of impurities than the doped silicon layer along the sidewall edges of the doped silicon layer and metal layer that are the patterned gate lines;
Reacting the second silicon layer with the metal layer to form a silicide along a sidewall edge of the metal layer;
Selectively removing the second silicon layer with respect to the doped silicon layer leaving the silicide after forming the silicide;
Forming a bird's beak under the sidewall edge of the gate line by oxidizing the surface of the semiconductor material substrate and the sidewall edge of the doped silicon layer;
A method of forming a field effect transistor comprising:
前記第2シリコン層の不純物濃度は、0よりも高く、かつ、約1015atoms/cmまでであることを特徴とする請求項1記載の電界効果トランジスタを形成する方法。 2. The method of forming a field effect transistor according to claim 1, wherein an impurity concentration of the second silicon layer is higher than 0 and up to about 10 15 atoms / cm 3 . 更に、前記ゲートラインの少なくとも一部分に隣接してソース/ドレイン領域を形成する工程を具備することを特徴とする請求項1記載の電界効果トランジスタを形成する方法。   2. The method of forming a field effect transistor according to claim 1, further comprising the step of forming source / drain regions adjacent to at least a portion of the gate line. 更に、前記ゲート絶縁層と前記ゲートラインとの間に、浮遊ゲート及び該浮遊ゲート上の中間絶縁層を形成する工程を具備することを特徴とする請求項1記載の電界効果トランジスタを形成する方法。   2. The method of forming a field effect transistor according to claim 1, further comprising a step of forming a floating gate and an intermediate insulating layer on the floating gate between the gate insulating layer and the gate line. . 前記半導体材料基板上に二酸化シリコン層を備え、前記パターン化されたゲートラインは、前記ゲート絶縁層となる前記二酸化シリコン層の一部分の上に形成され、
前記パターン化されたゲートラインである前記ドープトシリコン層及び金属層の側壁エッジに沿って前記第2シリコン層を形成する前記工程は、
前記第2シリコン層を、前記側壁エッジ上及び前記ゲートライン上に形成する工程と、
前記第2シリコン層を異方性エッチングすることにより、前記側壁エッジに沿って前記第2シリコン層の一部分を残しつつ、前記ゲートライン上の前記第2シリコン層を選択的に除去する工程と、
を具備することを特徴とする請求項1記載の電界効果トランジスタを形成する方法。
A silicon dioxide layer is provided on the semiconductor material substrate, and the patterned gate line is formed on a portion of the silicon dioxide layer to be the gate insulating layer ;
Forming the second silicon layer along sidewall edges of the doped silicon layer and metal layer that are the patterned gate lines;
Forming the second silicon layer on the sidewall edge and on the gate line;
Selectively removing the second silicon layer on the gate line while anisotropically etching the second silicon layer, leaving a portion of the second silicon layer along the sidewall edge;
A method of forming a field effect transistor according to claim 1.
記二酸化シリコン層の、前記一部分を除く他の部分は、前記パターン化されたゲートラインを超えて外側に延びて残っており、前記第2シリコン層は、前記二酸化シリコン層の前記他の部分の少なくとも一部分上に形成され、前記二酸化シリコン層の前記他の部分は、前記ゲートライン上の前記第2シリコン層の前記選択的除去の後も残っていることを特徴とする請求項5記載の電界効果トランジスタを形成する方法。 Before Symbol silicon dioxide layer, other parts except for the portion is left extending outwardly beyond the patterned gate lines, the second silicon layer, said another portion of the silicon dioxide layer 6. The method of claim 5, wherein the second portion of the silicon dioxide layer remains after the selective removal of the second silicon layer on the gate line. A method of forming a field effect transistor. 更に、前記パターン化されたゲートラインの少なくとも一部分に隣接してソース/ドレイン領域を形成する工程を具備することを特徴とする請求項5記載の電界効果トランジスタを形成する方法。   6. The method of forming a field effect transistor according to claim 5, further comprising the step of forming source / drain regions adjacent to at least a portion of the patterned gate line. 前記ソース/ドレイン領域の一部分は、前記半導体材料基板に不純物を注入することによって形成され、前記側壁エッジに沿った前記第2シリコン層の一部分は、前記注入の間、スペーサとして利用されることを特徴とする請求項記載の電界効果トランジスタを形成する方法。 A portion of the source / drain region is formed by implanting impurities into the semiconductor material substrate, and a portion of the second silicon layer along the sidewall edge is used as a spacer during the implantation. 8. A method of forming a field effect transistor according to claim 7 .
JP2006280548A 2000-01-06 2006-10-13 Method for forming field effect transistor Expired - Fee Related JP4605399B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/478,975 US6372618B2 (en) 2000-01-06 2000-01-06 Methods of forming semiconductor structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001550787A Division JP2003519911A (en) 2000-01-06 2001-01-08 Method of forming semiconductor structure

Publications (2)

Publication Number Publication Date
JP2007088486A JP2007088486A (en) 2007-04-05
JP4605399B2 true JP4605399B2 (en) 2011-01-05

Family

ID=23902159

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001550787A Pending JP2003519911A (en) 2000-01-06 2001-01-08 Method of forming semiconductor structure
JP2006280548A Expired - Fee Related JP4605399B2 (en) 2000-01-06 2006-10-13 Method for forming field effect transistor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001550787A Pending JP2003519911A (en) 2000-01-06 2001-01-08 Method of forming semiconductor structure

Country Status (7)

Country Link
US (5) US6372618B2 (en)
JP (2) JP2003519911A (en)
KR (1) KR100484372B1 (en)
AU (1) AU2637801A (en)
DE (1) DE10194791B4 (en)
GB (1) GB2373925B (en)
WO (1) WO2001050507A1 (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US6143611A (en) * 1998-07-30 2000-11-07 Micron Technology, Inc. Semiconductor processing methods, methods of forming electronic components, and transistors
US6372618B2 (en) * 2000-01-06 2002-04-16 Micron Technology, Inc. Methods of forming semiconductor structures
JP2001332630A (en) * 2000-05-19 2001-11-30 Sharp Corp Method of manufacturing semiconductor device
JP2002141514A (en) * 2000-11-07 2002-05-17 Sanyo Electric Co Ltd Bottom-gate thin-film transistor and its manufacturing method
US6927435B2 (en) * 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
US7358171B2 (en) * 2001-08-30 2008-04-15 Micron Technology, Inc. Method to chemically remove metal impurities from polycide gate sidewalls
JP2003174101A (en) * 2001-12-04 2003-06-20 Toshiba Corp Semiconductor device and method of manufacturing semiconductor device
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20040061190A1 (en) * 2002-09-30 2004-04-01 International Business Machines Corporation Method and structure for tungsten gate metal surface treatment while preventing oxidation
US6686637B1 (en) * 2002-11-21 2004-02-03 International Business Machines Corporation Gate structure with independently tailored vertical doping profile
KR100958618B1 (en) * 2002-12-31 2010-05-20 동부일렉트로닉스 주식회사 method for forming a semiconductor device
US7012024B2 (en) * 2003-08-15 2006-03-14 Micron Technology, Inc. Methods of forming a transistor with an integrated metal silicide gate electrode
US7598134B2 (en) * 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US20060079075A1 (en) * 2004-08-12 2006-04-13 Lee Chang-Won Gate structures with silicide sidewall barriers and methods of manufacturing the same
KR100629646B1 (en) 2004-08-12 2006-09-29 삼성전자주식회사 Gate Structure and Method of manufacturing the same
JP4938262B2 (en) * 2004-08-25 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7521316B2 (en) * 2004-09-09 2009-04-21 Samsung Electronics Co., Ltd. Methods of forming gate structures for semiconductor devices
US20090267157A1 (en) * 2004-12-06 2009-10-29 Koninklijke Philips Electronics N.V. Method or manufacturing a semiconductor device and semiconductor device obtained by using such a method
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
TWI293187B (en) * 2005-06-15 2008-02-01 Promos Technologies Inc Gate structure and method for preparing the same
US7442319B2 (en) 2005-06-28 2008-10-28 Micron Technology, Inc. Poly etch without separate oxide decap
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR101082096B1 (en) * 2008-01-21 2011-11-10 주식회사 하이닉스반도체 The method for manufacturing semiconductor device using salicide process
US7816218B2 (en) * 2008-08-14 2010-10-19 Intel Corporation Selective deposition of amorphous silicon films on metal gates
DE102008049723B4 (en) * 2008-09-30 2012-01-26 Advanced Micro Devices, Inc. Transistor with embedded Si / Ge material with better substrate-spanning uniformity
KR101087139B1 (en) 2008-12-18 2011-11-25 한국전자통신연구원 Method for fabricating trench gate double diffused MOS device having super junction structure
KR101697594B1 (en) * 2010-03-03 2017-01-18 삼성전자주식회사 Semiconductor device and Method of fabricating the same
US8741704B2 (en) 2012-03-08 2014-06-03 International Business Machines Corporation Metal oxide semiconductor (MOS) device with locally thickened gate oxide
US9673058B1 (en) * 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
WO2023099002A1 (en) * 2021-12-02 2023-06-08 Wacker Chemie Ag Process for producing silicon-containing materials

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01186675A (en) * 1988-01-14 1989-07-26 Toshiba Corp Manufacture of semiconductor device
JPH021171A (en) * 1988-01-21 1990-01-05 Seiko Epson Corp Mis semiconductor integrated circuit device
JPH03283565A (en) * 1990-03-30 1991-12-13 Toshiba Corp Mos type semiconductor integrated circuit
JPH07202189A (en) * 1993-12-28 1995-08-04 Toshiba Corp Manufacture of semiconductor device
US5736455A (en) * 1995-12-22 1998-04-07 Micron Technology, Inc. Method for passivating the sidewalls of a tungsten word line
JPH10173179A (en) * 1996-12-11 1998-06-26 Toshiba Corp Semiconductor device and its manufacture
JP2000196087A (en) * 1998-12-29 2000-07-14 Hyundai Electronics Ind Co Ltd Method of forming gate electrode of semiconductor element
JP2001036072A (en) * 1999-07-16 2001-02-09 Mitsubishi Electric Corp Semiconductor device and manufacture of the semiconductor device

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2372904A1 (en) 1976-11-19 1978-06-30 Ibm STRIPPING COMPOSITION OF POLYCRYSTALLINE SILICON CONTAINING TETRAMETHYLAMMONIUM HYDROXIDE AND APPLICATION METHOD
US4285761A (en) * 1980-06-30 1981-08-25 International Business Machines Corporation Process for selectively forming refractory metal silicide layers on semiconductor devices
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
JPS63316476A (en) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd Semiconductor device and manufacture thereof
KR930006140B1 (en) 1988-01-21 1993-07-07 세이꼬 엡슨 가부시끼가이샤 Mis-type semiconductor integrated circuit
FR2648622B1 (en) * 1989-06-14 1991-08-30 Commissariat Energie Atomique METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT COMPRISING A DOUBLE IMPLANTATION FIELD EFFECT TRANSISTOR
US5160987A (en) 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
KR940001402B1 (en) * 1991-04-10 1994-02-21 삼성전자 주식회사 Manufacturing method of semiconductor device with gold-structure
US5376455A (en) * 1993-10-05 1994-12-27 Guardian Industries Corp. Heat-treatment convertible coated glass and method of converting same
DE4342166C2 (en) 1993-12-10 1995-10-26 Bosch Gmbh Robert Integrated semiconductor device with a thyristor
KR950019922A (en) 1993-12-28 1995-07-24 김주용 Polycrystalline Silicon Wet Etch Solution
KR0179677B1 (en) * 1993-12-28 1999-04-15 사토 후미오 Semiconductor device wiring or electrode
US5804499A (en) 1996-05-03 1998-09-08 Siemens Aktiengesellschaft Prevention of abnormal WSix oxidation by in-situ amorphous silicon deposition
US5739066A (en) * 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5872057A (en) 1996-11-22 1999-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming oxide dielectric layer on refractory metal silicide gate
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
KR100230814B1 (en) 1997-03-05 1999-11-15 김영환 Flash memory device and its manufacturing method
US5925918A (en) 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6204521B1 (en) 1998-08-28 2001-03-20 Micron Technology, Inc. Thin film transistors
US6429108B1 (en) * 1999-09-02 2002-08-06 Advanced Micro Devices, Inc. Non-volatile memory device with encapsulated tungsten gate and method of making same
US6372618B2 (en) 2000-01-06 2002-04-16 Micron Technology, Inc. Methods of forming semiconductor structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01186675A (en) * 1988-01-14 1989-07-26 Toshiba Corp Manufacture of semiconductor device
JPH021171A (en) * 1988-01-21 1990-01-05 Seiko Epson Corp Mis semiconductor integrated circuit device
JPH03283565A (en) * 1990-03-30 1991-12-13 Toshiba Corp Mos type semiconductor integrated circuit
JPH07202189A (en) * 1993-12-28 1995-08-04 Toshiba Corp Manufacture of semiconductor device
US5736455A (en) * 1995-12-22 1998-04-07 Micron Technology, Inc. Method for passivating the sidewalls of a tungsten word line
JPH10173179A (en) * 1996-12-11 1998-06-26 Toshiba Corp Semiconductor device and its manufacture
JP2000196087A (en) * 1998-12-29 2000-07-14 Hyundai Electronics Ind Co Ltd Method of forming gate electrode of semiconductor element
JP2001036072A (en) * 1999-07-16 2001-02-09 Mitsubishi Electric Corp Semiconductor device and manufacture of the semiconductor device

Also Published As

Publication number Publication date
US6372618B2 (en) 2002-04-16
JP2003519911A (en) 2003-06-24
JP2007088486A (en) 2007-04-05
DE10194791B4 (en) 2005-08-18
KR20020064984A (en) 2002-08-10
AU2637801A (en) 2001-07-16
US6890843B2 (en) 2005-05-10
US20020019120A1 (en) 2002-02-14
US6541362B2 (en) 2003-04-01
US20030157793A1 (en) 2003-08-21
GB2373925A (en) 2002-10-02
DE10194791T1 (en) 2003-01-16
KR100484372B1 (en) 2005-04-22
WO2001050507A1 (en) 2001-07-12
US20020098690A1 (en) 2002-07-25
US7405455B2 (en) 2008-07-29
US20080258245A1 (en) 2008-10-23
US20050205900A1 (en) 2005-09-22
GB2373925B (en) 2004-09-08
GB0213397D0 (en) 2002-07-24

Similar Documents

Publication Publication Date Title
JP4605399B2 (en) Method for forming field effect transistor
US6559017B1 (en) Method of using amorphous carbon as spacer material in a disposable spacer process
US6720630B2 (en) Structure and method for MOSFET with metallic gate electrode
EP1334517B1 (en) Gate fabrication process for dram array and logic devices on same chip
US20070218606A1 (en) Semiconductor device and method of manufacture thereof
US6770550B2 (en) Semiconductor device manufacturing method
US20020192888A1 (en) Surface engineering to prevent epi growth on gate poly during selective epi processing
US6514843B2 (en) Method of enhanced oxidation of MOS transistor gate corners
US6699744B2 (en) Method of forming a MOS transistor of a semiconductor device
US6746927B2 (en) Semiconductor device having a polysilicon line structure with increased metal silicide portions and method for forming the polysilicon line structure of a semiconductor device
JP3132439B2 (en) Method for manufacturing semiconductor device
US20020072156A1 (en) Method of forming gate electrode in semiconductor devices
JP4424652B2 (en) Method for forming gate electrode of semiconductor element
KR0129984B1 (en) Semiconductor device and its manufacturing method
JPH0982949A (en) Semiconductor device and the manufacture
JP2000208612A (en) Production of semiconductor device having trench element isolating region
US6261909B1 (en) Semiconductor device having ultra shallow junctions and a reduced channel length and method for making same
KR20010030433A (en) Manufacturing method of semiconductor device
JP2004260203A (en) Method for manufacturing semiconductor device
GB2399945A (en) Methods of forming semiconductor structures
JP2000208611A (en) Production of semiconductor device having trench element isolating region
KR20070069470A (en) Method for manufacturing a silicide layer of semiconductor device
JPH09312394A (en) Mos semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070824

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070824

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100525

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100803

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100921

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131015

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees