JP4505218B2 - フォトリソグラフィ・マスクのための位相競合解決法 - Google Patents

フォトリソグラフィ・マスクのための位相競合解決法 Download PDF

Info

Publication number
JP4505218B2
JP4505218B2 JP2003504164A JP2003504164A JP4505218B2 JP 4505218 B2 JP4505218 B2 JP 4505218B2 JP 2003504164 A JP2003504164 A JP 2003504164A JP 2003504164 A JP2003504164 A JP 2003504164A JP 4505218 B2 JP4505218 B2 JP 4505218B2
Authority
JP
Japan
Prior art keywords
phase shift
area
pattern
critical
builds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003504164A
Other languages
English (en)
Other versions
JP2005517969A (ja
JP2005517969A5 (ja
Inventor
クリストフ ピエラ
ミチェル ルク コート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of JP2005517969A publication Critical patent/JP2005517969A/ja
Publication of JP2005517969A5 publication Critical patent/JP2005517969A5/ja
Application granted granted Critical
Publication of JP4505218B2 publication Critical patent/JP4505218B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

本発明は、フォトリソグラフィ・マスクを使って、集積回路のようなオブジェクトの小寸法造形を製作することに関する。厳密には、本発明は、位相シフトマスキングを、集積回路及び同様なオブジェクト用の複雑なレイアウトへ適用することに関する。
位相シフトマスキングは、集積回路内に小寸法造形を作るのに利用されてきた。通常その造形は、小さくてクリティカルな寸法を有する選択された設計要素に限定されてきた。例えば、米国特許第5,766,806号を参照して頂きたい。
集積回路内に小寸法造形を作ると速度と性能を改善することになるが、そのようなデバイスを製作する際に、位相シフトマスキングを更に広範囲に適用するのが望ましい。しかしながら、位相シフトマスキングを更に複雑な設計にまで広げると、マスクレイアウト問題が一層複雑になる。例えば、高密度設計に位相シフトウインドウをレイアウトすると、位相競合が起こる。位相競合の1つのタイプは、同じ位相を有する2つの位相シフトウインドウが、例えば露光パターン内に隣接するラインを作り出すために位相シフトウインドウを重ねるような、複数のマスクによって露光されることになる造形に近接して配置されるレイアウト内の位置である。位相シフトウインドウが同じ位相である場合、所望の造形を作るのに必要な光学的干渉を作り出さない。従って、マスクによって画定される層内に形成されることになる造形付近に、位相競合する位相シフトウインドウを迂闊に配置しないようにする必要である。
1つの集積回路の設計には、数百万の造形が配置されている。そのような多数の造形の反復オペレーションのためのデータ処理リソースの負担は膨大であり、場合によっては反復オペレーションが実行不可能となる場合もある。位相シフトウインドウのレイアウトと、そのようなウインドウへの位相シフト値の割り当ては、相当量のレイアウトが位相シフトによって実施される回路では、従来技術を使って行うのが実行不可能となってきた反復オペレーションである。
以上及びこの他の複雑さの故に、位相シフトマスキング技術を複雑な設計に実施するには、位相シフトマスクを設計する方法に改良が必要である。
米国特許第5,766,806号
本発明は、位相シフト技術を、高密度の小寸法造形を有するパターン用のマスクの製作に利用し、そのようなマスクを、集積回路又は他の工作物の層のパターンを製作するのに利用できるよう用途を拡張するための技術を提供する。例えば、本発明の技術は、各造形が非常に近接している複数の造形を含むエリア内に位相シフトウインドウを使って適用される。本技術は、工作物層上の高密集パターンのいわゆる「全シフト」にも適用される。
本発明の1つの実施形態は、集積回路又は他の工作物内に、位相シフトが実施される複数の造形を含むパターンを備えている層を画定するのに用いられるフォトリソグラフィ・マスクのコンピューター読み取り可能な定義を作成するための方法である。本方法は、パターンの特性に基づいて、位相シフト領域に対して切断エリアを識別する段階を含んでいる。次に、プロセスは、切断エリアの内の選択されたエリアで位相シフト領域を切断し、位相シフトウインドウを画定して、位相シフトウインドウに位相値を割り当てる。領域をウインドウに切断する段階、及び位相シフト値をウインドウに割り当てる段階は、基本的に反復プロセスであり、切断と割り当ての順序は、具体的な手順次第で、何れの順序ででも起きる。割り当てられる位相シフト値はφ及びθを含んでいるので、それぞれの位相シフト値φ及びθを有する隣接する位相シフトウインドウの間の移行において、破壊的な干渉が起こる。好適な実施形態では、φは、略θ+180度に等しい。位相シフト領域を位相シフトウインドウに切断し、位相値を位相シフトウインドウへ割り当てた結果は、コンピューター読み取り可能媒体内に記憶される。
形成されるパターンの特性に基づいて、切断エリアを識別することによって、位相シフト領域を位相シフトウインドウに分割し、位相シフト値をウインドウに割り当てる問題は、劇的に簡単になる。
位相シフト領域を位相シフトウインドウに切断し、位相値を位相シフトウインドウへ割り当てる際に適用される代表的な基準には、以下のことが含まれている。
1.マスク上に作成するのが難しく、十分な処理寛容度を提供しない小さな位相シフトウインドウの生成を避けるように努める。
2.切断数が最小になるようにし、切断が最大処理寛容度を維持するように努める。例えば、外側の不透明な(一般的にはクロム)コーナーから切断を始めると、内側の不透明なコーナーから始める場合よりも優れた処理寛容度を有する傾向にある。元の不透明な造形から元の不透明な造形までの長い切断は、短い切断よりもより大きな処理寛容度を有する傾向にある。元の不透明な造形からフィールドエリアまでの切断は、元の不透明な造形から元の不透明な造形までの切断よりも、より大きな処理寛容度を有する傾向にある。
本発明の或る実施形態では、切断ステップと割り当ステップを実行する際には、識別された切断エリアと、位相シフトウインドウを使って形成されるパターン内の造形の位置と形状とに依存する費用関数が適用される。
切断エリアを識別するステップは、本発明の或る実施形態では、3つのステップから成るプロセスを含んでいる。第1ステップは、一式のノンクリティカル造形を画定するためのノンクリティカル処理寛容度によって特徴付けられる複数の造形の中で造形を識別する段階を含んでいる。第2ステップは、一式のクリティカル造形を画定するためのクリティカル処理寛容度によって特徴付けられる複数の造形の間でフィールドを識別する段階を含んでいる。第3ステップは、切断エリアを、一式のクリティカルフィールド内のフィールドと交差することなく、一式のノンクリティカル造形の中の2つの造形の間、又は一式のノンクリティカル造形内の1つの造形と位相シフト領域の外側のフィールドとの間に延在する位相シフト領域内のエリアとして画定する段階を含んでいる。識別された切断エリアは、別の実施形態では、更に、パターンの特性、切断エリア内の切断から生じる位相シフトウインドウの特性、及び/又は切断があまり望ましくないエリアを識別する別の基準に基づいて、切断エリアの形状を変更するか、又は切断エリアを削除することにより改良される。
ノンクリティカル造形の代表例には、L字型造形、T字型造形及び特定の寸法より大きな多角形が含まれる。ノンクリティカル造形を識別するのに用いられるパラメーターは、ノンクリティカル処理寛容度によって特徴付けられる造形にフラグを立てる傾向のあるシミュレーション基準に基づくシミュレーションを使って判定することができる。例えば、過剰露光状態のシミュレーションは、ノンクリティカル造形を識別する傾向にある。
クリティカルフィールドの例としては、互いに近接している細いラインの間のフィールドが挙げられる。クリティカルフィールドを識別するのに用いられるパラメーターは、クリティカル処理寛容度によって特徴付けられるフィールドにフラグを立てる傾向のあるシミュレーション基準に基づくシミュレーションを使って判定することができる。例えば、露光不足状態のシミュレーションは、露光不足状態にあるクリティカルフィールドを越えて両方を架橋している造形間のクリティカルフィールドを識別する傾向にある。
或る実施形態では、位相シフトウインドウは、不透明な背景の中にレイアウトされている。別の実施形態では、位相シフトウインドウは、透明な背景の中にレイアウトされている。
本発明の別の実施形態では、本プロセスによるフォトリソグラフィ・マスクをレイアウトするためのステップを定義するデータ処理システムによって実行可能な指令を記憶している機械読み取り可能データ記憶媒体を備えている製造物品については、既に説明されている。本発明の別の実施形態では、そのような指令を記憶しているプロセッサ及びメモリを含むデータ処理システムが提供されている。
別の実施形態では、本発明は、上記のように画定されている切断エリアにおいて位相シフトウインドウに分割された複数の位相シフト領域を含むフォトリソグラフィ・マスクを提供している。従って、マスクを使って形成される、複数の造形を含むパターンを、パターンの外側にフィールドを含んでいる層内に画定するためのフォトリソグラフィ・マスクが提供されており、前記マスクは、基板と、前記基板上のマスク層の材料を備えている。マスク層は、位相シフト領域及びフィールドと、位相シフト領域内の複数の位相シフトウインドウとを含んでおり、前記複数の位相シフトウインドウは、位相シフトウインドウ間の位相遷移を生成して前記パターンを形成する位相シフト値を特徴とし、位相シフトウインドウの境界線は、前記パターンの特性に基づいて画定される切断エリア内にある。前記複数の造形の中の一式の造形では、前記一式の中の造形はノンクリティカル処理寛容度を特徴とし、前記複数の造形の中の造形の間の一式のクリティカルフィールドでは、前記一式の中のフィールドは、クリティカル処理寛容度を特徴としている。切断エリアは、前記一式の造形の中の2つの造形の間か、又は前記一式の造形の中の造形と位相シフト領域の外側のフィールドとの間に、前記一式のクリティカルフィールド内のフィールドと交差することなく延在する位相シフト領域内のエリアを含んでいる。
更に別の実施形態では、本発明は、上記プロセスによってフォトリソグラフィ・マスクを製作するための方法と、上記フォトリソグラフィ・マスクを使用して、集積回路内に層を製作するための方法とを提供している。更に、ここに記載されている方法によって製作され、密接して配置され交互位相シフトマスキングを使って作られた複数の小寸法造形を備えているパターン化された材料の層を有する、新しいクラスの集積回路が提供されている。新しいクラスの集積回路は、従来技術では達成できなかった小寸法造形の高密度パターンを有する層を備えている。
従って、本発明は、フォトリソグラフィ・マスクの設計及びレイアウトと、集積回路の製作とを提供しており、集積回路の製作では、位相シフトの利用が、いわゆる「完全シフト」パターンにまで拡張されており、集積回路層内のパターンが交互位相シフト技術を利用して画定される。提供されているプロセスによれば、従来技術では不可能な程度の小寸法造形の高密度レイアウトを含むパターンを有する層を備えた新しいクラスの集積回路が実用化される。
本開示のこの他の実施形態、態様及び利点は、図面を参照しながら、以下の詳細な説明及び上記特許請求の範囲を見れば理解することができる。
本発明の実施形態を、図面を参照しながら詳細に説明する。基本的な発明は、図1及び図2を参照すれば理解できる。図1では、3つの不透明な領域1、2、3内に複数の造形を含むパターンを示している。パターンを作成するためのマスクの「完全シフト」のために、ライン端部4のような狭いライン端部を除いて、ダーク領域1、2、3の辺と並行に位相シフト領域の境界を形成することにより、位相シフト領域5をレイアウトしている。本発明の解決する問題は、交互する位相シフトマスクのために位相シフト領域内に位相シフトウインドウを作成して3つのダーク領域1、2、3を作ることである。従って、位相の競合を生じさせることなく、実現できるほどの僅かな切断数で位相シフトウインドウを形成するために、位相シフト領域5を切断する位置を決定しなければならない。
本発明によれば、先ずパターン内のノンクリティカル造形が識別される。この例におけるそのような造形には、造形AのようなT字型造形と、造形BのようなL字型造形と、造形C、D、Eのような大型多角形が含まれる。更に、位相シフト領域の外側のフィールドエリアも識別される。これらのパターン特性に基づいて切断可能領域が決定されるので、位相シフト領域を、ノンクリティカル造形の間の線、又は、ノンクリティカル造形と位相シフト領域の外側であるフィールドエリアとの間の線に沿って切断すべきである。更に、位相シフト領域は、後に詳しく説明するように、処理寛容度が低い、狭いフィールドと交差する線に沿って切断すべきではない。
図2は、図1のパターンに対する位相シフトウインドウの或る例示的レイアウトを示しており、この場合、位相シフト領域は、ノンクリティカル造形Aからフィールドへライン16に沿って、ノンクリティカル造形Cからフィールドへライン6に沿って、ノンクリティカル造形Dからフィールドへライン7に沿って、ノンクリティカル造形Eからフィールドへライン8に沿って、ノンクリティカル造形Eからフィールドへライン9に沿って切断されている。その結果、位相シフトウインドウ10、11、12が作られて位相値θが割り当てられ、位相シフトウインドウ13、14、15が作られて位相シフト値φが割り当てられるが、ここでφは略θ+180度に等しいので、所望のパターンの画定に対して所望の破壊的干渉が起こる。交互する位相シフトマスクの観点からは、φは、180度プラスθ近く、例えばそのプラスマイナス10度以内であるのが好ましい。別の位相シフト技術では、別の組み合わせの位相値が適用されることもあり、又、2つ以上の位相値が使用できるように段階的な位相値を使うこともある。
切断位置を決めるためのオプションは数多くあるので、位相シフトウインドウを作るために切断位置を選択する問題は非常に複雑である。パターンの造形に基づいて切断エリアの境界数を識別すれば、本発明が教示するように、この問題は非常に簡単になる。
図3及び4は、本発明の位相シフトウインドウ切断及び位相値割り当て解法への代替アプローチを示している。図3に示すように、プロセスは、集積回路又は他の工作物の複雑な層を定義しているレイアウトファイルを読み取ることで始まる(ブロック20)。ソフトウェアのアルゴリズムを「ノンクリティカル」不透明造形を識別するために適用するが、不透明な造形は、大きな処理寛容度を特徴としている(ブロック21)。ブロック21で示されるステップでは「クリティカル」フィールドも識別されるが、クリティカルフィールドは小さな処理寛容度を特徴としている。次に、パターンの周りに位相シフト領域がレイアウトされるが、これは位相シフトウインドウに切断されることになる(ブロック22)。切断エリアは、パターンの造形に基づいて識別され、位相シフト領域は、いわゆる「クリティカル」フィールド領域と交差することなく、「ノンクリティカル」造形の間、又は、ノンクリティカル造形と、ラインの端部付近のフィールドを含むフィールドとの間のライン上で切断される(ブロック23及び24)。位相シフトウインドウが画定された後、位相シフトマスクレイアウトを完成するため、光学的近接補正技術を適用する(ブロック25)。次に、当技術では既知のように相補的トリムマスクが画定されるが、これは、不透明な背景の位相シフトマスクに対して、層上に形成されるパターンの一部ではない位相シフトウインドウの間の移行によって作られたラインの末端と望ましくないアーチファクトとをトリムし、随意的に、層内の他の造形を画定する(ブロック26)。位相シフトマスクが印刷され(ブロック27)、前記マスクを使って集積回路が製作され、製作プロセスが完了する(ブロック28)。
図4は、プロセスの代替フローを示している。図4のフローは、同じ様に、集積回路又は他の工作物の複雑な層を定義しているレイアウトファイルを読み取ることで始まる(ブロック30)。大きな処理寛容度によって特徴付けられる「ノンクリティカル」造形を識別するために、ソフトウェアのアルゴリズムが適用される。ブロック31で示されているステップでは、小さな処理寛容度によって特徴付けられる「クリティカル」造形も識別される。次に、予備的位相シフトウインドウが、切断エリア内での切断によって、「ノンクリティカル」造形よりも小さな処理寛容度を有する造形に隣接してレイアウトされる(ブロック32)。次に位相シフト値が割り当てられ、幾つかの切断を省くことによって、予備的位相シフトウインドウが合体して、最終的な位相シフトウインドウが形成される(ブロック33)。この様に、図1に示すような統合された位相シフト領域から始まるのではなく、このプロセスフローの開始位置には、複数の小さな予備的位相シフトウインドウが用いられる。何れかのフローを使って、切断エリアが画定され、位相シフト値を最終的な位相シフトウインドウに割り当てるプロセスと協働して、最終的切断が選択される。位相シフトウインドウが画定された後、光学的近接補正技術が適用され、位相シフトマスクレイアウトが完了する(ブロック34)。当技術では既知のように、相補形トリムマスクが画定される(ブロック35)。位相シフトマスクが印刷され(ブロック36)、前記マスクを使って集積回路が製作され、製作プロセスが完了する(ブロック37)。
パターンの「ノンクリティカル」造形については、過剰露光状態を使って形成されたシミュレーションのプリントアウトである図5を参照すれば理解できるであろう。シミュレーションによれば、露光されないでいるパターンの造形は、プリントアウト内の濃ダーク領域回りの輪郭線(例えばライン40)で識別される。これらの非露光造形は、レイアウトの問題において、位相シフトウインドウ用の切断エリアを識別するという本発明の目的のために用いられる「ノンクリティカル」不透明造形と相関関係にある。例えば、ノンクリティカルエリアは、名目照射量を約20パーセント越える露光の後に残され、例えば約0.3ミクロンの焦点許容度ウインドウのエッジで焦点がボケているエリアかもしれない。レイアウトツールの設計ルールチェッカーと共に用いて、機械読み取り可能なレイアウトを分析するソフトウェアアルゴリズムを使って「ノンクリティカル」造形を識別することができるパラメータを決定するのにも、シミュレーションプロセスを用いることができる。そのようなツールに用いられるパラメーターは、トライ・アンド・エラーによって求めることもできるし、単に当業者の知識を適用するだけで作ることもできる。
「ノンクリティカル」造形は、大きな多角形と、L字型又はT字型と、不透明な背景位相シフトレイアウト内で不透明なフィールドを含んでいることが分かるが、この不透明なフィールドは、トリムマスクによる露光の間に取り除かれることになるからである。ノンクリティカルエリアとライン端部付近のフィールドとの間が優先的に切断されるが、これは、ライン端部が第2レベルの露光を使って既に切断されているのと、後に述べる別の「費用関数」基準に従って行われるからである。
図6は、図5でシミュレートされたパターンのレイアウトを示しており、ノンクリティカル造形が斜線ハッチングによって識別されている。図5の輪郭線40は、図6の造形41に相当する。
クリティカルフィールドエリアの定義は、極端な露光不足条件のシミュレーションのプリントアウトである図7を参照すれば理解できるであろう。或る狭い空間エリア(例えばエリア43)は、露光不足によりパターン内の造形の間に橋を掛け易い。そのように狭い空間エリアは、図7でパターンが架橋されている箇所に見られる。例えば、クリティカルフィールドエリアは、名目照射量から20パーセント露光不足の後の、焦点で見ると例えば0.3ミクロンの焦点寛容度の縁部に当たる、架橋しているエリアである。クリティカルフィールドエリアには、例えば設計ルールチェッカー又はレイアウトツールで用いられる一式のルールを使って、フラグを立てることもでき、そのパラメーターはシミュレーションプロセスか、トライ・アンド・エラーか、当業者の知識を適用することによって決定されることになる。位相シフト領域を切断して、クリティカルフィールドエリアを通して位相シフトウインドウを形成すべきではない。
図8は、図7でシミュレートされたパターンを示しており、クリティカル空間エリアはクロスハッチングで識別されている。図7のエリア43は、図8のエリア44に相当している。
図5−8に示すパターンの切断エリアを識別するプロセスは、図9−15を参照すると理解できる。図9では、位相シフト領域45は、黒色で示すパターンを囲んで、パターン内の不透明な造形の辺と並行に且つ選択された量だけ離れて延在しているラインによって画定されている。切断エリアの画定に適用されることになるパターンの特性は、図10で見ることができ、位相シフト領域と、斜線ハッチングで示されたクリティカルフィールドエリアと、クロスハッチングで示されたパターンのノンクリティカル造形とが組み合わされている。
図11は、1つのプロセスフローによる切断エリアを識別するプロセスの第1ステップを示している。このステップでは、パターンの限界寸法造形を画定するために、切断から保護されるべき位相シフト領域の部分(例えば部分46)を、正方形点ハッチングで示している。
図12では、保護されるべき位相シフト領域の部分が、クリティカル造形だけでなく、ノンクリティカル造形とも隣接する位相シフトウインドウをそうすることにより拡張されている。従って、大きな四角形50に隣接する位相シフト領域51も、切断から保護されていることが分かる。図11と図12を比較すると、位相シフト領域の他の類似部分も、切断から保護されていることが分かる。
図13では、正方形ドットハッチングで示されている切断エリアの形状は、T字型造形も配慮して調整されている。従って、T字型造形52付近では、切断エリア53の形状が調整されている。切断エリアの形状に対する同様の調整は、他のT字型造形付近でも行われている。
図14では、対象となるウインドウの可能性のある側辺を埋めるために、切断エリアの形状に対する更なる調整を行なって、外側コーナー造形54のような外側コーナー造形が仕上げられ、領域55で示されているようにエリア内で切断領域が削減されている。更に、位相シフター層のフィールド内の小さな空間も一掃されている。而して、図13の小さな不透明フィールドエリア56は、図14のレイアウトでは、位相シフト領域を拡張してそれを覆うことにより消失している。
図15に、切断領域の最終レイアウトを、分離された小さなシフター拡張部を含め示している。而して、切断から保護されている図14の小さなエリア57は大きくなって、図15に示す領域58を確立している。同様の調整が、他の小さなシフター領域にも行われている。位相シフトウインドウが他の位相シフトウインドウから十分に分離されている場合にのみ調整が行われるので、切断の可能性のある数が減少する一方で、ウインドウの統合が避けられる。
図15のレイアウトにおいて、調整された位相シフト領域内に黄色で示されている識別された切断エリアの境界の数が与えられると、切断を選択して位相値を割り当て、位相シフトウインドウを形成するプロセスが、本発明に従って開始される。1つの例示的レイアウトを図16に示すが、ゼロ度の位相シフト領域(例えば領域45)は不規則な四角形点ハッチングで識別され、180度の位相シフト領域(例えば領域46)は斜線クロスハッチングで識別され、パターンは概ね黒のフィラーで識別されている。不透明な背景エリアは、この図では白いまま残されている。
図17は、図16の位相シフトマスクと共に用いられるバイナリトリムマスクの図である。トリムマスクは、位相層とポリ層とを互いに最初に論理和処理することによって製作できる、次に、寸法をマイナス0.02μm(248nmプロセスに対して)低減し、その寸法になった層を元のフィールドポリと論理和処理する。最終的なトリムマスクは、位相領域よりも小さいが、整列不良を防止できるほどには大きい。トリムマスクの他の実施形態は、減衰バイナリマスキング又は他のタイプのマスクを使って作られる。
図18は、図16及び17に示すマスクレイアウトを使って作成した露光のシミュレーションのプリントアウトである。見て分かるとおり、所望のパターンがほぼ形成されている。
図19は、当技術で既知の光学的近接補正技術を適用した後の、図16の位相シフトウインドウの修正版を示している。而して、修正されたゼロ度の位相シフトウインドウは、不規則な正方形ドットハッチングで識別されており、修正された180度の位相シフトウインドウは、斜線クロスハッチングで識別されている。図19のマスクを使って露光されたパターンのシミュレーションを図20に示す。図20は、レイアウトファイルで定義された元のパターンのトレースも示している。見て分かるように、露光は、元のレイアウトとよく一致している。
本発明による集積回路内の最終的な層は、交互位相シフトマスキングを使って形成された小さな造形の高密度パターンによって特徴付けられる。所与の波長の露光照射に対して、これらの技術を利用することで、密度は大幅に改善される。
カリフォルニア州サンホセのカデンス・デザイン・システムズから入手可能なカデンツDRCツール(Assura又はVampiree)のような設計ルールチェッカーDRCか、又は、カリフォルニア州サンホセのカデバラ・ニューメリカル・テクノロジーズ社から入手可能なCadabraツール(abraCAD)のようなレイアウトツールを使って実行されるコンピュータープログラムの代表的なプロトタイプは、本発明による位相シフトウインドウの形状及び位置を画定するプロセスを実行するもので、以下に説明するように作動する。
何れのプロトタイプの基本的なプロセスフローも以下のことを含んでいる。
1.切断なしで位相層を作る。位相シフト領域を画定するシフター層を、元のレイアウトを所与の幅(シフター幅)で採寸して作る。ライン端部だけは採寸しない。
2.レイアウトのノンクリティカル領域を識別する。どちらのツールでも、同じ手法が用いられる。例えばAssuraを使うのであれば、以下のように定義する:
Figure 0004505218
3.クリティカル空間領域を識別する。
Figure 0004505218
4.図11−15に示しているように、切断から保護される位相シフト領域を作る。
5.可能な切断領域を作る:切断無しの位相層(ステップ1、図9参照)と位相シフト領域(ステップ4、図15参照)の間の差異は、切断が許されるエリアを表す。
6.位相値(例えば、0又は180度)を割り当て、どの切断を使うかを決定する:位相値割り当て及び切断の選択に関する決定は、以下の基準を使って行われる。
a)マスク上に製作するのが難しく、十分な処理寛容度を提供しない小さな位相シフトウインドウが出来ないように努める。
b)切断数を最小に維持し、切断が最大処理寛容度を維持するように努める。例えば、外側の不透明な(クロムの)コーナーから切断を始めると、内側の不透明なコーナーから切断を始める場合よりも良好な処理寛容度を有する傾向がある。元の不透明な造形から元の不透明な造形まで長く切断すると、短く切断する場合よりも大きい処理寛容度を有する傾向がある。元の不透明な造形からフィールドエリアまでの切断は、元の不透明な造形から元の不透明な造形までの切断の場合よりも大きな処理寛容度を有する傾向がある。
c)位相を割り当て切断を選定するプロセスの間に最小化される既知技術に従って、選択ルールを使用して、費用関数が作られる。
図21は、本発明の或る実施形態による、位相シフトウインドウをレイアウトするプロセスの単純化したフロー図である。プロセスは、実行予定のパターンを含む複雑な層を定義しているレイアウトファイルを読み取ることで始まる(ブロック110)。パラメータWよりも小さい幅を有するパターンの造形は、取り除かれる(ブロック111)。T字型及びL字型の造形が加え戻される(ブロック112)。できた画像が「ノンクリティカル」造形としてセーブされる(ブロック113)。次に、パラメータXよりも小さなフィールドにより分離されている造形が識別される(ブロック114)。「クリティカル」架橋エリアが、そのような造形間のフィールドとして画定される(ブロック115)。位相シフト領域がパターンの回りに作られ、そこから位相シフトウインドウが作られる(ブロック116)。切断可能領域が、上記で概説したパターンの造形に基づいて識別され、費用関数によって格付けされる(ブロック117)。位相値が領域に割り当てられ、費用関数を最小にしながらどの切断を使用すべきかが決定され、位相シフトウインドウの最終レイアウトが作成される(ブロック118)。そのレイアウトは、コンピュータの読み取り可能媒体内にセーブされる(ブロック119)。
複雑な構造用の位相シフトマスクの生成は、些細ならざる処理上の問題である。図22は、そのようなタスクのデータ処理システムを示しており、このアプリケーションに適した様々なコンピューターシステム及びコンピューターアーキテクチャを表している。図22のマシン250は、ユーザー入力回路254からのユーザー信号を表示するデータを受け取り、画像を定義するデータをディスプレイ252へ供給するために接続されているプロセッサ252を含んでいる。プロセッサ252は、更に、マスク及び層レイアウトデータ258にアクセスするために接続されており、このデータは、構築中のマスクのレイアウトと、マスクを使って露光されることになる材料層のレイアウトとを定義する。プロセッサ252は、更に、指令入力回路262からの指令を示す指令データ260を受け取るために接続されており、この回路は、メモリ264、記憶媒体アクセス装置266又はネットワーク268との接続部から受け取った指令を例証的に提供することができる。
指令データ260によって提示されたコマンドを実行する際に、プロセッサ252は、レイアウトデータ258を使用して、マスク用のレイアウトを定義するデータ、及び随意的にマスクレイアウトの画像をディスプレイ256へ提供し、代表的なレイアウトを提示させる。
指令データ260によって提示された指令を実行する際に、プロセッサ252は、更に、処理の制御又は処理との対話に必要であれば、ユーザー入力装置254からユーザー信号データを受け取る。
上記のように、図22は、指令入力回路262が指令を提示するデータを受け取ることができる3つの可能なソース、即ち、メモリ264、記憶媒体アクセス装置266及びネットワーク268を示している。
メモリ264は、ランダムアクセスメモリ(RAM)又は読み取り専用メモリ(ROM)を含む、マシン250内のどの様な従来型メモリであってもよいし、どの様な種類の周辺又は遠隔メモリ装置であってもよい。
記憶媒体アクセス装置266は、ドライブであってもよいし、記憶媒体270にアクセスするための他の適切な装置又は回路であってもよく、この記憶媒体270は、例えば、一式の1つ又は複数のテープ、ディスケット又はフロッピーディスクのような磁気媒体でも、一式の1つ又は複数のCD−ROMのような光学媒体でも、データを記憶するための何れか他の適切な媒体でもよい。記憶媒体270は、マシン250の一部であってもよいし、サーバー或いは他の周辺又は遠隔メモリ装置の一部であってもよいし、ソフトウェア製品であってもよい。これら何れの場合でも、記憶媒体270は、マシン250内で使用できる製造物品である。データユニットは、記憶媒体アクセス装置266がデータユニットにアクセスし、指令入力回路262を介してそれ等をプロセッサ252へ順次提供できるように、記憶媒体270上に配置されている。データユニットは、順次提供されると、図示のように、指令を提示する指令データ260を形成する。
ネットワーク268は、マシン280からの通信として受け取った指令データ260を提供することができる。マシン280内のプロセッサ282は、ネットワーク接続回路284及び指令入力回路262を介して、プロセッサ252との接続をネットワーク268上に確立することができる。どちらのプロセッサが接続を開始してもよいし、適切なプロトコルによって接続を確立してもよい。すると、プロセッサ282は、メモリ286内に記憶されている指令データにアクセスして、指令データをネットワーク268でプロセッサ252へ伝送することができるので、プロセッサ252は、ネットワーク268から指令データ260を受け取ることができる。次いで、プロセッサ252は、指令データ260を、メモリ264又は何処かに記憶させることができ、実行することもできる。
できたレイアウトのデータは、機械読み取り可能な形で記憶されるか、又は、通信で遠隔システムに提示される。
先に述べたように、この実施例では、位相シフト領域が自動的に割当られ、光学的近接補正造形が追加されるので、処理が容易になっている。データ処理システムの設計ルールチェック・プログラミング言語(例えば、カデンス・デザイン・システムズが提供しているAssura(TM)設計ルールチェッカー)を使って実行される処理に従って位相シフトマスクレイアウトを生成する際の3つの段階には、例えば、図22のそれと同様に、入力層の定義と、出力層の生成と、切断及び位相値の位相シフトウインドウへの割り当てが含まれる。
実施形態の中には、ディスプレイ256が絵画的描写をサポートしているものもあれば、概略的に図面で示されている1つ又は複数の表示との対話をサポートしているものもある。例えば、パターンのノンクリティカル造形が際立つ色でマークされている図6の表示を、見直し及び/又は人間による修正のために表示することもできる。同様に、OPC有りで、及び/又はOPC無しでシミュレートされた露光の結果を、見直して、非位相シフト露光と比較することもできる。
幾つかの実施形態では、位相値の領域への割り当てが単純化されている(図21のブロック117−118参照)。特に、現実世界の設計では、格付け無しで(図21のブロック117参照)且つ費用関数の最小化無しで(ブロック118)、割り当てを行うことができる。或る発見的方法は、可能性のある全ての切断領域で切断が行われ、従って位相値を割り当てると想定している。
更に一般的には、1つ又は複数の設計では、費用関数の最小化は、コンピューターでは扱いにくいかもしれないし、単にコンピューター処理が望ましくないかもしれない。特定のインプリメンテーションは、数多くのデータ表示及び方法(例えば、切断しないで開始対全て切断で開始)から選択することができる。或る実施形態は、グラフ表示を用いており、そこでは、各位相シフター領域がノードとして表されており、一式のエッジを用いて隣接性を表し、もう一式のエッジを用いて位相制限を表し、例えば、エッジは、2つのシフター領域が例えば造形を横切る異なる位相でなければならないことを示すようにしている。そのようなグラフでは、奇数長サイクルの位相制限を識別することにより、実現可能性をチェックすることができる。上記の発見的方法より良い解決法を見つけるために、位相競合が生じなければ、隣接しているノードを統合してもよい(例えば、切断除去)。隣接エッジ(切断領域を示している)が、切断の相対コストを表示するために重み付けされれば、最小(又は最大)のエッジ重みによって接続されている隣接ノード同士を統合する1つの方法が試されることになる。しかしながら、図16が示すように、位相シフト領域を(不透明な)フィールドに拡張又は統合して、より大きなシフター領域を形成する段階を含む解決法もある。
生じた色付け問題を解決するための特定のデータ表示及び方法は、計算上の必要性を満たすように変更し、及び/又は複数の方法と組み合わせてもよい。例えば、上記グラフ法を適用して所定数繰り返し、次に、随意的に更に人間が最適化することもできる。
本発明の実施形態は、概括的には、位相シフトマスク及びトリムマスクの2つのマスクを必要とする。2つのマスクを製作するコストは1つのマスクを製作するコストを上回るので、ICの設計者は、どちらの層を本発明の実施形態によって作るかを慎重に選択したいはずである。例えば、設計者は、本発明の実施形態を使って幾つかの層を作り、別の層を単一マスク技術で作ることになる。或る実施形態では、特定の設計の別の層上の造形の密度及び寸法に比べて数多くの高密度に実装された小さな寸法の造形を有するパターンを含むICの層だけが、ここに記載したPSM法を使って作られる。別の実施形態では、選択する際に配慮される因子には、所与の層がここに記載のPSM法を使って作られる場合の、ICの歩留り及び性能が考慮される。
以上、本発明を、上記実施形態及び実施例に関連付けて開示してきたが、これらの実施例は、制限するためではなく、分かり易くするためのものである。当業者には自明のように、それらには修正及び組み合わせを容易に施すことができ、修正及び組み合わせも、本発明の精神及び特許請求の範囲に述べる事項の範囲内にあると考えられる。
複雑な造形のパターンと、位相シフト領域を位相シフトウインドウに切断して位相シフトウインドウに位相シフト値を割り当てる前の、本発明による「全シフト」技術を使って造形を画定するための位相シフト領域とを示している。 位相シフト領域を位相シフトウインドウに切断して位相シフトウインドウに位相シフト値を割り当てた後の、図1のパターンを示している。 本発明により、位相シフトマスクを画定し、マスクを製作し、集積回路を製作するためのプロセスを示す単純化したフローチャートである。 本発明により、位相シフトマスクを画定し、マスクを製作し、集積回路を製作するための代替プロセスを示す単純化したフローチャートである。 パターン内のノンクリティカル造形を識別し易い過剰露光状態下で行われたシミュレーションのカラー印刷である。 図5のパターンに、パターン内のノンクリティカル造形をマークしたものである。 図5のパターン内の造形の間のクリティカルフィールドエリアを識別し易い露光不足状態で行われたシミュレーションのカラー印刷である。 図5のパターンに、クリティカルフィールドエリアをマークしたものである。 図5のパターンの位相シフト領域のレイアウトを示している。 図9のパターンで、ノンクリティカル造形とクリティカルフィールドエリアを識別したものである。 図9のパターンで、クリティカル造形に対する切断エリアを識別したものである。 図9のパターンで、ノンクリティカル造形に対する切断エリアを修正したものである。 図9のパターンで、T字型造形を考慮して切断エリアを修正したものである。 図9のパターンで、外側コーナー及び小さな空間に対する切断エリアを修正したものである。 図9のパターンで、小さなシフターに対する切断エリアを修正したものである。 図9のパターンに関し、位相シフトウインドウの代表的なレイアウトを示している。 図16の位相シフトマスクと共に使用するための、トリムマスクの代表的なレイアウトを示している。 図16及び図17に示すマスクを使っている露光パターンのシミュレーションを示しており、光学的近接補正を行っていないものである。 図16の位相シフトウインドウの代表的なレイアウトを示しており、光学的近接補正を行っているものである。 図19に示すマスクを使っている露光パターンのシミュレーションを示している。 切断エリアを識別し、シフト領域を切断エリア内で位相シフトウインドウに切断し、位相シフトウインドウに位相シフト値を割り当てるためのプロセスの単純化したフローチャートである。 本発明の1つの実施例によるデータ処理システムの単純化した図である。

Claims (16)

  1. フォトリソグラフィ・マスクを使って形成される層内の、複数の造形を含んでいるパターンを定義する、フォトリソグラフィ・マスクに関するコンピューター読み取り可能な定義を製作する方法において、
    前記パターンの特性に基づいて位相シフト領域に関して切断エリアを識別する段階であって、ノンクリティカル処理寛容度によって特徴付けられる複数の造形内の造形を識別して、一式の造形を画定する段階、
    クリティカル処理寛容度によって特徴付けられる複数の造形内の造形の間のフィールドを識別して、一式のクリティカルフィールドを画定する段階、及び
    前記切断エリアを、前記一式の造形内の2つの造形の間、又は一式の造形内の1つの造形と前記位相シフト領域の外側の1つのフィールドとの間に、前記一式のクリティカルフィールドと交差することなく延在する前記位相シフト領域内のエリアとして画定する段階、を含む段階と、
    前記位相シフト領域内の位相シフトウインドウに位相値を割り当てる段階であって、前記切断エリアの中の選択されたエリア内の前記位相シフト領域を切断して、前記位相シフトウインドウを画定する段階を含んでいる位相値を割り当てる段階、及び
    前記レイアウトと前記割り当ての結果を、コンピューター読み取り可能媒体内に記憶する段階を含む段階と、から成ることを特徴とする方法。
  2. 前記割り当てる段階は、費用関数を適用して、前記切断エリアの中の選択されたエリアを決定する段階を含んでいることを特徴とする、請求項1に記載の方法。
  3. 前記割り当てる段階は、前記パターンの特性に基づいて前記切断エリアを格付けする段階と、前記格付けに基づいて前記切断エリアの中の選択されたエリアを決定する段階とを含んでいることを特徴とする、請求項1に記載の方法。
  4. 前記切断エリアを識別する段階に用いられる前記パターンの特性は、前記パターンがL字型造形を含んでいることであることを特徴とする、請求項1に記載の方法。
  5. 前記切断エリアを識別する段階に用いられる前記パターンの特性は、前記パターンがT字型造形を含んでいることであることを特徴とする、請求項1に記載の方法。
  6. 前記切断エリアを識別する段階に用いられる前記パターンの特性は、前記パターンが特定の寸法より大きい多角形を含んでいることであることを特徴とする、請求項1に記載の方法。
  7. 前記切断エリアを識別する段階は、ノンクリティカル処理寛容度によって特徴付けられる造形にフラグを立てる傾向にあるシミュレーション基準に基づいて、シミュレーションを使って前記識別を行うためのパラメーターを決定する段階を含んでいることを特徴とする、請求項1に記載の方法。
  8. 前記切断エリアを識別する段階は、ノンクリティカル処理寛容度によって特徴付けられる造形にフラグを立てる傾向にある過剰露光状態のシミュレーションを使って前記識別を行うためのパラメーターを決定する段階を含んでいることを特徴とする、請求項1に記載の方法。
  9. 前記切断エリアを識別する段階は、クリティカル処理寛容度によって特徴付けられる前記パターン内の造形の間のフィールドにフラグを立てる傾向にあるシミュレーション基準に基づいて、シミュレーションを使って前記識別を行うためのパラメーターを決定する段階を含んでいることを特徴とする、請求項1に記載の方法。
  10. 前記切断エリアを識別する段階は、クリティカル処理寛容度によって特徴付けられる前記パターン内の造形の間のフィールドにフラグを立てる傾向にある露光不足状態のシミュレーションを使って、前記識別を行うためのパラメーターを決定する段階を含んでいることを特徴とする、請求項1に記載の方法。
  11. 前記位相シフト値を割り当てる段階は、θ度の位相シフトを誘起する第1セットの位相シフトウインドウと、φ度の位相シフトを誘起する第2セットの位相シフトウインドウとを画定する段階を含むことを特徴とする、請求項1に記載の方法。
  12. 前記φは、略θ+180度に等しいことを特徴とする、請求項11に記載の方法。
  13. データ処理システムにおいて、
    プロセッサと、
    フォトリソグラフィ・マスクを使って形成される層内に複数の造形を含むパターンを画定するフォトリソグラフィ・マスクをレイアウトするためのステップを定義する、前記プロセッサが実行可能な指令を記憶している、前記プロセッサに連結された機械読み取り可能データ記憶媒体と、を備えており、前記ステップは、
    前記パターンの特性に基づいて位相シフト領域に関して切断エリアを識別する段階であって、ノンクリティカル処理寛容度によって特徴付けられる複数の造形内の造形を識別して、一式の造形を画定する段階、
    クリティカル処理寛容度によって特徴付けられる複数の造形内の造形の間のフィールドを識別して、一式のクリティカルフィールドを画定する段階、及び
    前記切断エリアを、前記一式の造形内の2つの造形の間、又は一式の造形内の1つの造形と前記位相シフト領域の外側の1つのフィールドとの間に、前記一式のクリティカルフィールドと交差することなく延在する前記位相シフト領域内のエリアとして画定する段階、を含む段階と、
    前記位相シフト領域内の位相シフトウインドウに位相値を割り当てる段階であって、前記切断エリアの中の選択されたエリア内の前記位相シフト領域を切断して、前記位相シフトウインドウを画定する段階を含んでいる位相値を割り当てる段階、及び
    前記レイアウトと前記割り当ての結果を、コンピューター読み取り可能媒体内に記憶する段階を含む段階と、から成ることを特徴とするデータ処理システム。
  14. フォトリソグラフィ・マスクを使って形成される層内に複数の造形を含むパターンを画定するフォトリソグラフィ・マスクをレイアウトするためのステップを定義する、データ処理システムが実行可能な指令を記憶している、機械読み取り可能データ記憶媒体であって、前記ステップは、
    前記パターンの特性に基づいて位相シフト領域に関して切断エリアを識別する段階であって、ノンクリティカル処理寛容度によって特徴付けられる複数の造形内の造形を識別して、一式の造形を画定する段階、
    クリティカル処理寛容度によって特徴付けられる複数の造形内の造形の間のフィールドを識別して、一式のクリティカルフィールドを画定する段階、及び
    前記切断エリアを、前記一式の造形内の2つの造形の間、又は一式の造形内の1つの造形と前記位相シフト領域の外側の1つのフィールドとの間に、前記一式のクリティカルフィールドと交差することなく延在する前記位相シフト領域内のエリアとして画定する段階を含む段階と、
    前記位相シフト領域内の位相シフトウインドウに位相値を割り当てる段階であって、前記切断エリアの中の選択されたエリア内の前記位相シフト領域を切断して、前記位相シフトウインドウを画定する段階を含んでいる位相値を割り当てる段階、及び
    前記レイアウトと前記割り当ての結果を、コンピューター読み取り可能媒体内に記憶する段階を含む段階と、から成ることを特徴とする機械読み取り可能データ記憶媒体。
  15. フォトリソグラフィ・マスクを使って形成される層内に複数の造形を含むパターンを画定するフォトリソグラフィ・マスクを製作するための方法において、
    前記パターンの特性に基づいて位相シフト領域に関して切断エリアを識別する段階であって、ノンクリティカル処理寛容度によって特徴付けられる複数の造形内の造形を識別して、一式の造形を画定する段階、
    クリティカル処理寛容度によって特徴付けられる複数の造形内の造形の間のフィールドを識別して、一式のクリティカルフィールドを画定する段階、及び
    前記切断エリアを、前記一式の造形内の2つの造形の間、又は前記一式の造形内の1つの造形と前記位相シフト領域の外側の1つのフィールドとの間に、前記一式のクリティカルフィールドと交差することなく延在する前記位相シフト領域内のエリアとして画定する段階、を含む段階と、
    前記位相シフト領域内の位相シフトウインドウに位相値を割り当てる段階であって、更に、前記切断エリアの中の選択されたエリア内の前記位相シフト領域を切断して、前記位相シフトウインドウを画定する段階を含んでいる位相値を割り当てる段階、及び
    前記レイアウトと前記割り当ての結果を適用して、マスク層を基板上へ形成する段階を含む段階と、から成ることを特徴とする方法。
  16. 集積回路内に複数の造形を含むパターンを有する材料の層を製作するための方法において、
    フォトリソグラフィ・マスクを使用して前記材料の層を画定する段階から成り、
    前記マスクは、基板と、前記基板上のマスク材料の層とを備えており、前記マスク層は、位相シフト領域及びフィールドと、前記位相シフト領域内の複数の位相シフトウインドウとを含んでおり、前記複数の位相シフトウインドウは、前記位相シフトウインドウの間に位相遷移を作り出して前記パターンを形成する位相シフト値を特徴としており、前記位相シフトウインドウの境界は、前記パターンの特性に基づいて画定される切断エリア内にあり、
    前記複数の造形内の一式の造形は、ノンクリティカル処理寛容度を特徴とし、前記複数の造形内の造形の間の一式のクリティカルフィールドはクリティカル処理寛容度を特徴とし、
    前記切断エリアは、前記一式の造形内の2つの造形の間か、又は、前記一式の造形内の1つの造形と前記位相シフト領域の外側の1つのフィールドとの間に、前記一式のクリティカルフィールド内のフィールドと交差することなく延在する前記位相シフト領域内のエリアを含んでいることを特徴とする方法。
JP2003504164A 2001-06-08 2002-06-07 フォトリソグラフィ・マスクのための位相競合解決法 Expired - Lifetime JP4505218B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US29678801P 2001-06-08 2001-06-08
US30414201P 2001-07-10 2001-07-10
US09/932,239 US7083879B2 (en) 2001-06-08 2001-08-17 Phase conflict resolution for photolithographic masks
PCT/US2002/018306 WO2002101465A2 (en) 2001-06-08 2002-06-07 Phase conflict resolution for photolithographic masks

Publications (3)

Publication Number Publication Date
JP2005517969A JP2005517969A (ja) 2005-06-16
JP2005517969A5 JP2005517969A5 (ja) 2006-01-05
JP4505218B2 true JP4505218B2 (ja) 2010-07-21

Family

ID=27404461

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003504164A Expired - Lifetime JP4505218B2 (ja) 2001-06-08 2002-06-07 フォトリソグラフィ・マスクのための位相競合解決法

Country Status (6)

Country Link
US (2) US7083879B2 (ja)
EP (1) EP1393129B1 (ja)
JP (1) JP4505218B2 (ja)
CN (1) CN100535745C (ja)
AU (1) AU2002310374A1 (ja)
WO (1) WO2002101465A2 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3501688B2 (ja) * 1999-07-01 2004-03-02 キヤノン株式会社 露光方法、露光装置、およびデバイス製造方法
EP1303790B1 (de) * 2000-07-26 2007-02-28 Qimonda AG Verfahren zur feststellung der abbildbarkeit integrierter halbleiterschaltkreise auf alternierende phasenmasken
US7110525B1 (en) 2001-06-25 2006-09-19 Toby Heller Agent training sensitive call routing system
US9818136B1 (en) 2003-02-05 2017-11-14 Steven M. Hoffberg System and method for determining contingent relevance
TWI244590B (en) * 2003-06-30 2005-12-01 Taiwan Semiconductor Mfg System and method for reticle field layout design advanced features are not supported in freeware version
TWI266152B (en) * 2003-12-30 2006-11-11 Mosel Vitelic Inc Mask and method of using the same
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7421676B2 (en) * 2004-09-15 2008-09-02 Chartered Semiconductor Manufacturing Ltd. System and method for phase shift assignment
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
WO2011048737A1 (ja) * 2009-10-19 2011-04-28 パナソニック株式会社 半導体装置
US8563199B2 (en) * 2011-10-07 2013-10-22 Seagate Technology Llc Forming a bridging feature using chromeless phase-shift lithography

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1523165A (en) 1974-08-03 1978-08-31 Matsushita Electric Ind Co Ltd Fourier-transform holography by pseudo-random phase shifting
US4456371A (en) 1982-06-30 1984-06-26 International Business Machines Corporation Optical projection printing threshold leveling arrangement
JPH0690505B2 (ja) 1985-09-20 1994-11-14 株式会社日立製作所 ホトマスク
JP2650962B2 (ja) 1988-05-11 1997-09-10 株式会社日立製作所 露光方法及び素子の形成方法並びに半導体素子の製造方法
JP2710967B2 (ja) 1988-11-22 1998-02-10 株式会社日立製作所 集積回路装置の製造方法
EP0653679B1 (en) 1989-04-28 2002-08-21 Fujitsu Limited Mask, mask producing method and pattern forming method using mask
US5328807A (en) 1990-06-11 1994-07-12 Hitichi, Ltd. Method of forming a pattern
EP0464492B1 (en) 1990-06-21 1999-08-04 Matsushita Electronics Corporation A photomask used by photolithography and a process of producing the same
JP3094439B2 (ja) * 1990-11-21 2000-10-03 株式会社ニコン 露光方法
JP2974821B2 (ja) 1991-06-19 1999-11-10 沖電気工業株式会社 パターン形成方法
KR100256619B1 (ko) 1991-07-12 2000-06-01 사와무라 시코 포토마스크 및 그것을 사용한 레지시트 패턴 형성방법
US5364716A (en) 1991-09-27 1994-11-15 Fujitsu Limited Pattern exposing method using phase shift and mask used therefor
JPH05197128A (ja) 1991-10-01 1993-08-06 Oki Electric Ind Co Ltd ホトマスク及びそれを用いたパターン形成方法
JPH05142749A (ja) * 1991-11-25 1993-06-11 Toshiba Corp 露光用マスク
US5334542A (en) 1991-11-27 1994-08-02 Oki Electric Industry Co., Ltd. Method of forming T-shaped electrode
JP3148770B2 (ja) 1992-03-27 2001-03-26 日本電信電話株式会社 ホトマスク及びマスクパタンデータ処理方法
JPH0611826A (ja) * 1992-04-28 1994-01-21 Mitsubishi Electric Corp フォトマスク及びその製造方法
US5308741A (en) 1992-07-31 1994-05-03 Motorola, Inc. Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US5302477A (en) 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5538815A (en) 1992-09-14 1996-07-23 Kabushiki Kaisha Toshiba Method for designing phase-shifting masks with automatization capability
US5527645A (en) 1993-04-21 1996-06-18 Pati; Yagyensh C. Systematic method for production of phase-shifting photolithographic masks
JPH07111528A (ja) 1993-10-12 1995-04-25 Matsushita Electric Ind Co Ltd 留守番電話装置
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
JP3393926B2 (ja) 1993-12-28 2003-04-07 株式会社東芝 フォトマスク設計方法及びその装置
DE69500268T2 (de) 1994-02-14 1997-10-30 Ibm Dämpfende Phasenverschiebungsmaske und Herstellungsverfahren
US5539567A (en) 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
US5538833A (en) 1994-08-03 1996-07-23 International Business Machines Corporation High resolution phase edge lithography without the need for a trim mask
US5537648A (en) 1994-08-15 1996-07-16 International Business Machines Corporation Geometric autogeneration of "hard" phase-shift designs for VLSI
US5496666A (en) 1994-10-27 1996-03-05 Chartered Semiconductor Manufacturing Pte Ltd. Contact hole mask for semiconductor fabrication
US5472814A (en) 1994-11-17 1995-12-05 International Business Machines Corporation Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement
US5565286A (en) 1994-11-17 1996-10-15 International Business Machines Corporation Combined attenuated-alternating phase shifting mask structure and fabrication methods therefor
KR0158904B1 (ko) 1994-12-02 1999-02-01 김주용 콘택마스크
US5523186A (en) 1994-12-16 1996-06-04 International Business Machines Corporation Split and cover technique for phase shifting photolithography
JP3273456B2 (ja) 1995-02-24 2002-04-08 アルプス電気株式会社 モータ駆動スライド型可変抵抗器
US5532090A (en) 1995-03-01 1996-07-02 Intel Corporation Method and apparatus for enhanced contact and via lithography
US5595843A (en) 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
JP2638561B2 (ja) 1995-05-10 1997-08-06 株式会社日立製作所 マスク形成方法
JPH0950951A (ja) * 1995-08-04 1997-02-18 Nikon Corp リソグラフィ方法およびリソグラフィ装置
KR0161879B1 (ko) 1995-09-25 1999-01-15 문정환 위상 반전 마스크의 구조 및 제조방법
JP2917879B2 (ja) 1995-10-31 1999-07-12 日本電気株式会社 フォトマスク及びその製造方法
US6185727B1 (en) 1995-12-12 2001-02-06 International Business Machines Corporation Design verification for asymmetric phase shift mask layouts
US5885734A (en) 1996-08-15 1999-03-23 Micron Technology, Inc. Process for modifying a hierarchical mask layout
US5994002A (en) 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
JP3518275B2 (ja) 1996-09-06 2004-04-12 松下電器産業株式会社 フォトマスクおよびパターン形成方法
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
ES2224270T3 (es) 1996-09-18 2005-03-01 Numerical Technologies, Inc. Metodo y dispositivo de desplazamiento de fase en la fabricacion de un circuito.
US5923562A (en) 1996-10-18 1999-07-13 International Business Machines Corporation Method for automatically eliminating three way intersection design conflicts in phase edge, phase shift designs
US5807649A (en) 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
JPH10207038A (ja) 1997-01-28 1998-08-07 Matsushita Electric Ind Co Ltd レチクル及びパターン形成方法
US5883813A (en) 1997-03-04 1999-03-16 International Business Machines Corporation Automatic generation of phase shift masks using net coloring
US5923566A (en) 1997-03-25 1999-07-13 International Business Machines Corporation Phase shifted design verification routine
US6057063A (en) 1997-04-14 2000-05-02 International Business Machines Corporation Phase shifted mask design system, phase shifted mask and VLSI circuit devices manufactured therewith
US6040892A (en) 1997-08-19 2000-03-21 Micron Technology, Inc. Multiple image reticle for forming layers
JP3101594B2 (ja) 1997-11-06 2000-10-23 キヤノン株式会社 露光方法及び露光装置
TW363147B (en) 1997-11-22 1999-07-01 United Microelectronics Corp Phase shifting mask
TW378281B (en) 1997-11-28 2000-01-01 United Microelectronics Corp Phase shift mask and method for manufacturing the same
US6077630A (en) 1998-01-08 2000-06-20 Micron Technology, Inc. Subresolution grating for attenuated phase shifting mask fabrication
US6083275A (en) 1998-01-09 2000-07-04 International Business Machines Corporation Optimized phase shift design migration
JP3307313B2 (ja) 1998-01-23 2002-07-24 ソニー株式会社 パターン生成方法及びその装置
US6130012A (en) 1999-01-13 2000-10-10 Advanced Micro Devices, Inc. Ion beam milling to generate custom reticles
JP4115615B2 (ja) * 1999-03-11 2008-07-09 株式会社東芝 マスクパターン設計方法
JP3335139B2 (ja) * 1999-06-04 2002-10-15 キヤノン株式会社 露光方法、露光装置、およびデバイス製造方法
US6139994A (en) 1999-06-25 2000-10-31 Broeke; Doug Van Den Use of intersecting subresolution features for microlithography
US6251549B1 (en) 1999-07-19 2001-06-26 Marc David Levenson Generic phase shift mask
US6335128B1 (en) 1999-09-28 2002-01-01 Nicolas Bailey Cobb Method and apparatus for determining phase shifts and trim masks for an integrated circuit
DE19957542C2 (de) * 1999-11-30 2002-01-10 Infineon Technologies Ag Alternierende Phasenmaske
US6338922B1 (en) 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
US6524752B1 (en) * 2000-07-05 2003-02-25 Numerical Technologies, Inc. Phase shift masking for intersecting lines
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6681379B2 (en) 2000-07-05 2004-01-20 Numerical Technologies, Inc. Phase shifting design and layout for static random access memory
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
EP1370909A1 (en) 2001-03-08 2003-12-17 Numerical Technologies, Inc. Alternating phase shift masking for multiple levels of masking resolution
US6635393B2 (en) 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6573010B2 (en) 2001-04-03 2003-06-03 Numerical Technologies, Inc. Method and apparatus for reducing incidental exposure by using a phase shifter with a variable regulator
US6566019B2 (en) 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
US6553560B2 (en) 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters

Also Published As

Publication number Publication date
EP1393129B1 (en) 2013-05-08
US7169515B2 (en) 2007-01-30
WO2002101465A3 (en) 2003-11-13
US20020197543A1 (en) 2002-12-26
JP2005517969A (ja) 2005-06-16
AU2002310374A1 (en) 2002-12-23
US20040202965A1 (en) 2004-10-14
EP1393129A2 (en) 2004-03-03
WO2002101465A2 (en) 2002-12-19
CN1636165A (zh) 2005-07-06
US7083879B2 (en) 2006-08-01
CN100535745C (zh) 2009-09-02

Similar Documents

Publication Publication Date Title
US7132203B2 (en) Phase shift masking for complex patterns with proximity adjustments
JP5039882B2 (ja) 光近接補正用収束技術
US6560766B2 (en) Method and apparatus for analyzing a layout using an instance-based representation
EP1415197B1 (en) Optical proximity correction for phase shifting photolithographic masks
US8943445B2 (en) Method of merging color sets of layout
JP3358181B2 (ja) マイクロリソグラフィにおけるセリフ・マスク設計のための階層およびドメインバランス方法およびアルゴリズム
US8402396B2 (en) Layout decomposition for double patterning lithography
US6787271B2 (en) Design and layout of phase shifting photolithographic masks
EP1264213B1 (en) Method and apparatus for mixed-mode optical proximity correction
JP4505218B2 (ja) フォトリソグラフィ・マスクのための位相競合解決法
US7343582B2 (en) Optical proximity correction using progressively smoothed mask shapes
JP5078543B2 (ja) 階層opcのための局所的な色付け
US8234599B2 (en) Use of graphs to decompose layout design data
TW436666B (en) Design rule generation system and recording medium recording program thereof
JP5529391B2 (ja) ハーフトーン型位相シフトマスク、そのハーフトーン型位相シフトマスクを有する半導体装置の製造装置、およびそのハーフトーン型位相シフトマスクを用いた半導体装置の製造方法
WO2001042964A2 (en) Method and apparatus for structure prediction based on model curvature
JP2010508549A (ja) 製造可能性プロセスのための閉ループを設計するための方法、およびコンピュータ・プログラム
US20050081179A1 (en) Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
JP4357287B2 (ja) 修正指針の発生方法、パターン作成方法、マスクの製造方法、半導体装置の製造方法及びプログラム
US20030061587A1 (en) Method and apparatus for visualizing optical proximity correction process information and output
US20020112222A1 (en) Method of producing masks for fabricating semiconductor structures
JPH11327120A (ja) 光強度シミュレーション装置および光強度シミュレーション方法並びに光強度シミュレーションプログラムを記録した記録媒体
CN115983190A (zh) 一种满足多重掩膜约束的集成电路版图布线方法、装置及存储介质
JP2000066370A (ja) マスクパターン作成方法および装置
JP2006031469A (ja) Lsi設計システム、lsi設計方法、及びレイアウトデータ構造

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050513

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090209

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20090410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091013

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100405

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100426

R150 Certificate of patent or registration of utility model

Ref document number: 4505218

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130430

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140430

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term