JP4440647B2 - 欠陥を修復する方法およびシステム - Google Patents

欠陥を修復する方法およびシステム Download PDF

Info

Publication number
JP4440647B2
JP4440647B2 JP2003562995A JP2003562995A JP4440647B2 JP 4440647 B2 JP4440647 B2 JP 4440647B2 JP 2003562995 A JP2003562995 A JP 2003562995A JP 2003562995 A JP2003562995 A JP 2003562995A JP 4440647 B2 JP4440647 B2 JP 4440647B2
Authority
JP
Japan
Prior art keywords
specimen
repair
defects
defect
tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003562995A
Other languages
English (en)
Other versions
JP2005516196A (ja
Inventor
マレラ,ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2005516196A publication Critical patent/JP2005516196A/ja
Application granted granted Critical
Publication of JP4440647B2 publication Critical patent/JP4440647B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Description

本発明は、閉ループ欠陥修復とその後に続くウエファの欠陥を低減させるためのシステムと方法に関する。特定の実施態様が、試験片上の欠陥の検出、試験片上で検出された欠陥の修復、その後に続く試験片上の欠陥の低減のためのシステムと方法に関係する。
ロジックやメモリ・デバイス等の半導体デバイスの製造は、通常、半導体デバイスのさまざまな形状と多数の層を形成するために、多くの半導体製造プロセスを使用して半導体ウエファ等の試験片を加工することを含んでいる。たとえばリソグラフィは、典型的には、半導体ウエファ上に設けられたレジストにパターンを転写することを含む半導体製造プロセスである。別の例の半導体製造プロセスとしては、限定の意図ではないが、化学機械研磨、エッチング、堆積、イオン・インプランテーションを挙げることができる。複数の半導体デバイスを半導体ウエファ上の割り付けの中に製造し、その後、個別の半導体デバイスに分割している。
各半導体製造プロセスの間に、微粒子汚染やパターン欠陥といった欠陥が半導体デバイスにもたらされることがある。この種の欠陥は、試験片表面上にランダムに見つかることもあれば、試験片上に形成された各デバイス内に反復して見つかることもある。たとえば、ランダム欠陥は、製造環境内における微粒子汚染の予期しない増加や、半導体デバイスの製造に使用されることのある化学プロセスにおける汚染の予期しない増加等の事象によって引き起こされることがある。欠陥は、個別のプロセス・マージナリティや複数プロセスの相互作用に起因して時間を経て系統的な態様で形成されることもある。個別のプロセス・マージナリティによって、あるいは複数のプロセス(処理)の間の相互作用によって引き起こされる欠陥は、膜の厚さの変動、あるいは吸収線量の変動に起因する横方向寸法の変動といった欠陥をもたらすことがある。一方、この種の欠陥は、試験片上に形成される半導体デバイスに、2つの導電性構造の間における橋絡等の欠陥をもたらすことがあり、したがってこれらの構造の間に短絡が形成されることがある。全体の試験片上に形成された各半導体デバイス内に繰り返される欠陥は、たとえば、レティクルまたはマスク上に見つかる汚染または欠陥によって系統的に引き起こされることがある。レティクル上の汚染または欠陥は、リソグラフィ・プロセスの間にデバイスのパターンとともにレジストに転写されることがある。
米国特許第4,247,203号 米国特許第4,347,001号 米国特許第4,378,159号 米国特許第4,448,532号 米国特許第4,532,650号 米国特許第4,555,798号 米国特許第4,556,317号 米国特許第4,579,455号 米国特許第4,601,576号 米国特許第4,618,938号 米国特許第4,633,504号 米国特許第4,641,967号 米国特許第4,644,172号 米国特許第4,766,324号 米国特許第4,805,123号 米国特許第4,818,110号 米国特許第4,845,558号 米国特許第4,877,326号 米国特許第4,898,471号 米国特許第4,926,489号 米国特許第5,076,692号 米国特許第5,189,481号 米国特許第5,264,912号 米国特許第5,355,212号 米国特許第5,537,669号 米国特許第5,563,702号 米国特許第5,565,979号 米国特許第5,572,598号 米国特許第5,604,585号 米国特許第5,737,072号 米国特許第5,798,829号 米国特許第5,633,747号 米国特許第5,822,055号 米国特許第5,825,482号 米国特許第5,864,394号 米国特許第5,883,710号 米国特許第5,917,588号 米国特許第6,020,214号 米国特許第6,052,478号 米国特許第6,064,517号 米国特許第6,078,386号 米国特許第6,081,325号 米国特許第6,175,645号 米国特許第6,178,257号 米国特許第6,122,046号 米国特許第6,215,551号 PCT出願第WO 99/38002号 PCT出願第WO 00/68673号 PCT出願第WO 00/70332号 PCT出願第WO 01/03145号 PCT出願第WO 01/13098号 ヨーロッパ特許出願第EP 0 993 019 A2号 ヨーロッパ特許出願第EP 1 061 358 A2号 ヨーロッパ特許出願第EP 1 061 571 A2号 ヨーロッパ特許出願第EP 1 069 609 A2号 ヨーロッパ特許出願第EP 1 081 489 A2号 ヨーロッパ特許出願第EP 1 081 742 A2号 ヨーロッパ特許出願第EP 1 093 017 A2号 米国特許第5,023,424号 米国特許第4,928,010号 米国特許第5,241,176号 米国特許第5,502,306号 米国特許第5,578,821号 米国特許第5,665,968号 米国特許第5,717,204号 米国特許第5,869,833号 米国特許第5,872,358号 米国特許第5,973,323号 PCT出願第WO 01/80304 A2号 PCT出願第WO 00/36525号 PCT出願第99/65056号 米国特許第6,020,957号 米国特許第6,201,999号 米国特許第6,224,638号 PCT出願第WO 98/57358 米国特許第6,201,998号 Genut(ジェナット)ほか「Chemically Assisted Laser Removal of Photoresist and Particles from Semiconductor Wafer(半導体ウエファからのフォトレジストと粒子の化学補助レーザ除去)」Fine Particle Society(微粒子学会)第28回年次会議、1998年4月1〜3日
最新の半導体デバイスの寸法は縮小の一途をたどっており、半導体デバイス内の欠陥の存在は、半導体デバイスの成功的な製造または歩留まりを制限する。たとえば、リソグラフィの間にレジスト・パターン内に再生されるレティクルの欠陥は、その後に続く処理で形成される半導体デバイス内に開放回路または短絡回路を生じさせる。半導体デバイスの製造が多くの複雑なプロセス・ステップを含むので、欠陥によって引き起こされたエラーが時間を経て全製造プロセスまたはオペレーションに伝播されると、総合的な歩留まりに及ぶ欠陥の有害な影響が指数関数的に増加する。
本発明の一実施態様は、試験片上の欠陥の修復とその後に続くプロセスにおける欠陥の発生の低減に関する。この方法は、欠陥の検出、欠陥が修復可能か否かの決定、欠陥の修復、欠陥が上流または下流のプロセスを伴う系統的な修復可能な問題に起因するものか否かの決定、さらに1ないしは複数のプロセス・ツールに出力を提供して行うその後に続く試験片上における欠陥の発生の低減を含む。試験片は、ウエファまたはレティクルを含む。この方法は、試験片の加工を含み、それには、限定する意図はないが、試験片上における材料の層の形成、試験片上におけるレジストのパターン形成、試験片のエッチング、試験片の研磨、導電性または誘電性薄膜の形成、および/または試験片のクリーニングを含めることができる。この方法はまた、試験片上に存在する欠陥の検出を含むことができる。さらにこの方法は、試験片上の1ないしは複数の欠陥の修復を含むことができる。この方法は閉ループ欠陥修復を含むことができる。たとえばこの方法は、欠陥の検出に使用される測定デバイスからの出力を、1ないしは複数の欠陥を修復するために使用される修復ツールに送ることを含む。この出力は試験片上に存在する欠陥に応じたものである。この方法はまた、出力を処理し、試験片を加工するために使用されるプロセス・ツールに結合された機器のパラメータを決定することを含む。したがって、この方法は、1ないしは複数の試験片の加工や1ないし複数のプロセス・ツールに対する出力の提供を含む。
試験片上に存在する欠陥の検出は、試験片または試験片の集まりの上の欠陥の特性すなわち特色の決定を含む。たとえばこの方法は、欠陥が修復可能か否かの決定を含む。またこの方法は、その特性に応答して欠陥の修復に使用されるプロセス・ツールおよび/または修復ツールに結合された機器のパラメータの変更を含む。このようにこの方法は、たとえば修復可能と決定された欠陥の修復を含む。それに加えて、試験片上に存在する欠陥の検出は、試験片上における欠陥の位置の決定を含む。この種の方法は、個別の欠陥が修復できるように、欠陥の位置に応答して行う修復のために使用される修復ツールに結合された機器のパラメータの変更を含む。この方法はまた、欠陥の位置に応答して1ないしは複数のプロセス・ツールに結合された機器のパラメータを変更し、試験片の再加工、試験片のリワーク、追加の試験片上における欠陥の発生の低減を含む。試験片上に存在する欠陥の検出は、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージの形成を含む。この方法はまた、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性、および/または測定ツールの特性またはパラメータの間における関係の形成を含むであろう。
試験片上の1ないしは複数の欠陥の修復は、試験片上に存在する欠陥の検出に続いて、あるいはその間に行うことができる。試験片上の1ないしは複数の欠陥の修復は、限定の意図ではないが、化学補助レーザ除去、レーザ誘導衝撃波除去、粒子ビーム補助修復を用いて行う1ないしは複数の欠陥の除去を含む。それに加えてこの方法には、限定する意図はないが、エッチング時間、エッチング・ガスのフロー・レート、エッチング・ガスの圧力、研磨時間などのプロセスのツール修正の決定を含む。
一実施態様においては、本方法は、修復に続いて試験片上に残存している欠陥を検出するための試験片の検査を含む。たとえば、試験片上に存在する欠陥の検出は、試験片上に存在する欠陥の位置の決定を含む。欠陥の位置の決定は、たとえば、欠陥のタイプやその欠陥が修復可能であるか否かの決定に加えて行うことができる。このようにしてこの方法は、修復に続いてその欠陥の位置の検査を含む。それに代えて、1ないしは複数の欠陥の修復の間に試験片の検査を行うこともできる。試験片の検査は、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージの形成を含む。この方法はまた、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性、および/または測定ツールの特性またはパラメータの間における関係の形成を含む。
一実施態様においては、本方法は、検査に応答して修復のために使用される修復ツールに結合された機器のパラメータを変更することを含む。さらにこの方法は、試験片上に残存している欠陥に応答して加工のために使用されるプロセス・チャンバに結合された機器のパラメータを変更することを含む。
一実施態様においては、本方法は、検出のために使用される測定デバイスを用いた、加工の間における試験片または試験片の集まりの特性の決定を含む。さらなる実施態様においては、本方法は、試験片上に検出された欠陥に応答して加工のために使用されるプロセス・チャンバに結合された機器のパラメータを変更することを含む。
さらに別の実施態様は、試験片上の欠陥を修復し、追加の試験片上における欠陥の発生を低減するための追加の方法に関係する。この方法は、試験片上に存在する欠陥の検出、試験片上に存在する1ないしは複数の欠陥の修復、その修復に続いて試験片上に残存する欠陥を検出するための試験片の検査を含む。この方法は、ここに述べているような任意の追加のステップを含む。
さらなる実施態様は、試験片上の欠陥を検出し、かつその後の試験片上における欠陥の発生を低減するように構成されたシステムに関係する。このシステムは、試験片を加工するように構成されたプロセス・チャンバを含む。プロセス・チャンバには、限定する意図ではないが、堆積チャンバ、めっきチャンバ、熱成長チャンバ、リソグラフィ・チャンバ、エッチング・チャンバ、研磨チャンバ、クリーニング・チャンバを含めることができる。このシステムは、プロセス・チャンバによる加工に続いて試験片上に存在する欠陥を検出するように構成された測定デバイスを含む。それに加えてこのシステムは、試験片で検出された欠陥の1ないしは複数を修復するように構成された修復ツールをも含む。このシステムを、クラスタ・ツールとして配列することができる。それに代えて、このシステムは、ネットワークによって結合される複数のスタンド・アロン・デバイスを含むこともできる。
このシステムは、さらに測定デバイスと修復ツールとに結合されたプロセッサを含む。このプロセッサは測定デバイスからの出力を受け取るように構成されている。その出力は試験片上で検出された欠陥を表している。このプロセッサはまた、この出力に応答して修復ツールに結合された機器のパラメータを変更するように構成されている。たとえば、検出された欠陥が修復可能か否かを出力から決定するべくプロセッサを構成されている。それに加えてこのプロセッサは、1ないしは複数の欠陥が修復可能と決定された欠陥を含むように、修復ツールに結合された機器のパラメータを変更するように構成されている。さらにこのプロセッサは、この出力から試験片で検出された欠陥の位置を決定し、検出された欠陥の位置に応答して修復ツールに結合された機器のパラメータを変更するように構成されている。このようにして修復ツールは、試験片上に存在する個別の欠陥を修復するように構成されている。さらに上記の出力から、検出された欠陥の特性を決定するべくプロセッサを構成することもできる。この種のプロセッサは、検出された欠陥の特性に応答してプロセス・ツールに結合された機器のパラメータを変更するように構成されている。このようにこのシステムは、欠陥を修復し、追加の試験片上における欠陥の発生を抑えるように構成されている。
測定デバイスは、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージを形成するように構成されている。システムのプロセッサは、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性、および/または測定ツールの特性またはパラメータの間における関係を決定するように構成されている。測定デバイスは、プロセスの間に追加の出力を生成するように構成されている。プロセッサは、この追加の出力から、プロセスを特徴づける特色を獲得するように構成されている。それに加えてプロセッサは、特色に応答してプロセス・チャンバに結合された機器のパラメータを変更するように構成されている。また測定デバイスは、試験片を検査し、修復に続いて試験片上に残存している欠陥を検出するように構成されている。
修復ツールは、測定デバイスによる欠陥の検出の間に試験片で検出された1ないしは複数の欠陥を修復するように構成されている。修復ツールは、限定する意図ではないが、化学補助レーザ除去ツール、レーザ誘導衝撃波除去ツール、粒子ビームを用いた修復ツール、あるいはそのほかの、この分野において周知の適切な修復ツールを含む。また修復ツールを、測定デバイスの一部として構成することもできる。たとえば、測定デバイスや修復ツールは、共通の電源、共通のステージ、共通のハンドラ、および/または共通のプロセッサを共有する。
一実施態様においてはこのシステムが、修復に続いて試験片上に残存している欠陥を検出するために試験片を検査するように構成された検査ツールを含む。この検査ツールは、プロセッサに結合することができる。検査ツールは、試験片で検出された欠陥の位置に応じて、プロセッサからの出力を受け取るように構成されている。この検査ツールは、修復に続いて試験片上のその位置を検査し、修復に続いて試験片上に残存している欠陥を検出するように構成されている。また検査ツールは、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージを形成するように構成されている。システムのプロセッサは、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性、および/または測定ツールの特性またはパラメータの間における関係を決定するように構成されている。
一実施態様においては、プロセッサが検査ツールからの追加の出力を受け取ることができるように、プロセッサを検査ツールに結合することができる。この追加の出力は、修復に続いて試験片上に残存している欠陥に応じたものである。またプロセッサは、この追加の出力に応答して修復ツールに結合された機器のパラメータを変更するように構成されている。
さらなる実施態様においては、プロセッサをプロセス・チャンバに結合させることができる。このプロセッサは、前述の出力に応答してプロセス・チャンバに結合された機器のパラメータを変更するように構成されている。またプロセッサを、プロセス・チャンバと検査ツールに結合することもできる。このプロセッサは、修復に続いて試験片上に残存している欠陥に応答してプロセス・チャンバに結合された機器のパラメータを変更するように構成されている。
さらに別の実施態様は、試験片上の欠陥を修復し、追加の試験片上における欠陥の発生を低減するように構成された追加のシステムに関係する。このシステムは、試験片上に存在する欠陥を検出するように構成された測定デバイスを含む。またこのシステムは、試験片で検出された欠陥の1ないしは複数を修復するように構成された修復ツールを含む。さらにこのシステムは、修復に続いて試験片上に残存している欠陥を検出するように構成された検査ツールを含む。それに加えて、このシステムは、測定デバイスと修復ツールに結合されたプロセッサを含む。このプロセッサは、測定デバイスから出力を受け取るように構成されている。この出力は試験片で検出された欠陥に応じたものである。またプロセッサは、この出力に応答して修復ツールに結合された機器のパラメータを変更するように構成されている。このシステムは、さらに、明細書で述べているように構成されている。
本発明のこのほかの利点については、以下の好ましい実施態様の詳細な説明の恩典を受ける当業者にとって、添付図面を参照することによって明らかなものとなろう。
本発明は、各種の修正および代替形式を許容するが、例を与える形で特定の実施形態を図面に示し、ここに詳細に説明することができる。図面は、縮尺に忠実ではない。しかしながらここで理解される必要があるが、図面およびそれに対する詳細な説明に、開示されている特定の形式に本発明を限定する意図はなく、むしろその逆に、付随する特許請求の範囲にあるとおりの本発明の精神と範囲に含まれるすべての修正、等価物、および変形が保護されることが意図されている。
以下の説明は、概して試験片上の欠陥を修復するためのシステムと方法に関する。ここで用いる場合、用語『欠陥』は、試験片上または試験片内に形成された、当該試験片上に形成されるデバイスまたは原因が直っていない場合の試験片上に形成される追加のデバイスのパフォーマンスまたは機能に悪影響を与えることのある異常(すなわち、速度等の特性を低下させるか、あるいはデバイス障害を生じさせ、それがデバイスを非作動にすることもあれば、しないこともある)を言う。欠陥は、個別のプロセス・マージナリティによって生じることがある。また欠陥は、プロセス統合マージナリティまたは複数のプロセスの間における相互作用によって生じることがある。たとえば欠陥は、試験片上の汚染、試験片上の異常構造、または試験片へのダメージとなることがある。汚染には、限定する意図ではないが、プロセス・ステップの後に試験片上に残っている粒子、ファイバ、または残渣材料を含めることができる。また汚染には、レジスト、誘電性材料、および/または導電性材料等の有機または無機材料が含まれる。試験片上の異常には、限定する意図ではないが、欠損構造、橋絡構造、構造内に形成されたボイド、予め決定された値の範囲より大きいかあるいは小さい横方向寸法を有する構造、および/または予め決定された値の範囲より大きいかあるいは小さい粗さ、段、丸み、および/または側壁の角度を含めることができる。試験片へのダメージには、たとえば、表面のスクラッチ、粗さ、試験片の破損、または試験片上に形成される構造の破損を含めることができる。ここで用いている『構造』は、概して、試験片上に形成されたパターン化されていない材料の層、試験片上に形成されたパターン化された形状、またはそれらの任意の組み合わせを言う。
欠陥は、試験片上の任意の場所に存在し得る。それに加えて、それぞれの欠陥が試験片上に存在し得る数も任意である。さらに、任意数のそれぞれの欠陥は、試験片のフロントサイドおよび/またはバックサイド表面等の試験片の任意の表面上に存在し得る。また欠陥は、本質的に顕微鏡的(すなわち、人間の目に見えない)または本質的に肉眼的(すなわち、人間の目に見える)なものである。
ここで用いている『欠陥の修復』は、概して試験片の加工として定義され、それには、試験片上の材料の変更(すなわち、試験片からの材料の除去および/または試験片への材料の追加)、試験片からの汚染の除去、試験片の異常構造の変更、および/または試験片へのダメージの少なくとも部分的な修正を含めることができる。
ここで用いている『試験片』はウエファまたはレティクルを含むとして定義される。試験片の集まりには、限定する意図ではないが、ロットまたはバッチの2ないしはそれを超える数の試験片を含めることができる。用語『ウエファ』は、半導体または非半導体材料から形成される基板を言う。その種の半導体または非半導体材料には、限定する意図ではないが、単結晶シリコン、ガリウムヒ素、リン化インジウムが含まれる。この種の物質は、半導体製造ファシリティ内において一般的に見られるか、かつ/または加工が行われている。
ウエファは、バージン・ウエファつまりファースト‐パス・リソグラフィ・プロセス前のウエファといった基板だけを含む。それに代えてウエファは、半導体基板上に形成することのできる1ないしは複数の層を含む。たとえば、その種の層は、限定する意図ではないが、レジスト、誘電性材料、導電性材料を含む。レジストは、光学リソグラフィ・テクニック、e‐ビーム・リソグラフィ・テクニック、またはX線リソグラフィ・テクニックによってパターン形成されるレジストを含む。誘電体材料の例としては、限定する意図はないが、二酸化けい素、窒化けい素、シリコンオキシナイトライド、窒化チタンを挙げることができる。誘電性材料の追加の例には、カリフォルニア州サンタクララのApplied Materials, Inc.,(アプライド・マテリアルズ・インク)から市販されているBlack DiamondTM(ブラック・ダイアモンド)、カリフォルニア州サンノゼのNovellus Systems, Inc.,(ノーベラス・システムズ・インク)から市販されているCORALTM(コーラル)等の『低k』誘電性材料、『ゼロ・ゲル』等の『超低k』誘電性材料、および五酸化タンタル等の『高k』誘電性材料がある。これらに加えて、導電性材料の例として、限定する意図ではないが、アルミニウム、ポリシリコン、銅が挙げられる。
ウエファ上に形成される1ないしは複数の層は、パターン形成されることもあればされないこともある。たとえばウエファは、反復可能なパターン形状を有する複数のダイを含んでいてもよい。その種の材料の層の形成と加工は、最終的には完成半導体デバイスとなる。したがってウエファは、完成半導体デバイスのすべての層が形成されているわけではない基板、または完成半導体デバイスのすべての層が形成されている基板を含む。
『レティクル』または『マスク』は、実質的に不透明な領域が形成され、パターンを構成している実質的に透明な基板として定義される。この基板は、たとえば、石英等のガラス材料を含む。レティクルは、リソグラフィ・プロセスの露光ステップの間に、レティクル上のパターンがレジストに転写されるように、レジストに覆われたウエファの上に配置される。たとえば、レティクルの実質的に不透明な領域は、レジストのその下にある領域をエネルギ・ソースに曝すのから保護する。
ここで図面を参照するが、図1は、試験片上の欠陥を修復するように構成されたシステムの実施形態の側面図を示している。図2は、そのシステムの断面図である。したがって、図1と2の両方に示されているエレメントは、同一の参照番号を用いて示されている。このシステムは、ロード・チャンバ10を含む。ロード・チャンバ10は、多数のカセット12を支持するように構成されている。カセットは、プロセス・チャンバによって加工される多数の試験片を保持するように構成されている。たとえばカセットを前開き一体型ポッド(『FOUP』)またはこの分野で周知のそのほかの任意のカセットとすることができる。それに加えて、ロード・チャンバが別のシステムから自動的にカセットを受け取ることができるように、ロード・チャンバ10を標準機械式インターフェース(『SMIF』)テクニックに従って構成させることができる。
またこのシステムは、少なくとも1つのロボット試験片ハンドラ14を備える。ロボット・ウエファ・ハンドラ14は、カセット12からプロセス・チャンバ16へ試験片を移動させるように構成されている。たとえば、ロボット・ウエファ・ハンドラは、カセット12の前を方向18に沿って横方向に移動するように構成されている。またロボット・ウエファ・ハンドラは、カセットから試験片を取り出し、その試験片をロード・チャンバ10の外へ移動するように構成されている。たとえばロボット・ウエファ・ハンドラは、図2に仮想線で示されているように、横方向20に移動し、ロード・チャンバ10からプロセス・チャンバ16へ移動するように構成されている。またロボット・ウエファ・ハンドラは、図1および2に仮想線で示されているように、垂直方向22にも移動するように構成されている。このようにしてロボット・ウエファ・ハンドラは、システム内を横方向および/または垂直方向にプロセス・チャンバまで試験片を移動させるように構成されている。それに加えて、ロボット・ウエファ・ハンドラ14は、第1のプロセス・チャンバから第2のプロセス・チャンバへ試験片を移動させることができるように構成されている。たとえばロボット・ウエファ・ハンドラは、システムの第1のサイドに配置されている第1のプロセス・チャンバからシステムの第2のサイドに配置されている第2のプロセス・チャンバから試験片を移動させることができるように、回転するべく構成されている。これらの第1のサイドと第2のサイドは、リソグラフィ・ツールの実質的に対向するサイドに配置することができる。このようにロボット・ウエファ・ハンドラは、試験片に対して加工を行うことができるように、一連のプロセス・チャンバを通ってその試験片をシーケンシャルに移動させることができる。類似の方法に従ってロボット・ウエファ・ハンドラは、プロセス・チャンバから測定デバイスへ、測定デバイスから修復ツールへ、測定デバイスからプロセス・チャンバへ、修復ツールから検査ツールへ、かつ/または検査ツールからプロセス・チャンバへ試験片を移動させることができる。
さらにこのシステムは、試験片を加工するように構成されたプロセス・チャンバ16を備えている。たとえばプロセス・チャンバ16は、堆積チャンバ、めっきチャンバ、熱成長チャンバ、リソグラフィ・チャンバ、エッチング・チャンバ、研磨チャンバ、またはクリーニング・チャンバとすることができる。堆積チャンバの例としては、化学蒸着チャンバ、物理蒸着チャンバ、原子層堆積チャンバ、またはこの分野において周知のこのほかの任意の堆積チャンバが挙げられる。その種の堆積チャンバは市販されており、たとえばカリフォルニア州サンタクララのApplied Materials, Inc.,(アプライド・マテリアルズ・インク)から入手できる。めっきチャンバは、ウエファ等の試験片の上に金属の層を形成するように構成されている。熱成長チャンバは、O2またはH2O等の酸化雰囲気中において、約700℃より高温に試験片を加熱することによって、試験片上に層状二酸化ケイ素を成長させるように構成されている。この種のめっきチャンバと熱成長チャンバはこの分野において周知であり、また市販もされている。リソグラフィ・チャンバは、たとえば、レジスト塗布チャンバ、塗布後焼き付けチャンバ、露光チャンバ、露光後焼き付けチャンバ、または現像チャンバを含む。その種のチャンバは、たとえば東京都港区の東京エレクトロン株式会社から市販されている。研磨チャンバは、たとえば化学機械研磨(『CMP』)ツールである。エッチング・チャンバは、プラズマ・エッチング・チャンバ、リアクティブ・イオン・エッチング(『RIE』)チャンバ、あるいはこのほかのこの分野において周知のエッチング・チャンバである。この種の研磨チャンバとエッチング・チャンバは、カリフォルニア州サンタクララのApplied Materials, Inc.,(アプライド・マテリアルズ・インク)から市販されている。クリーニング・チャンバの例としては、湿式クリーニング・チャンバまたは乾式クリーニング・チャンバを挙げることができる。この種のチャンバは、カリフォルニア州サンノゼのNovellus Systems, Inc.,(ノーベラス・システムズ・インク)(Gasonics International Corporation(ガソニクス・インターナショナル・コーポレーション)およびミネソタ州チャスカのFSI International Inc.,(FSIインターナショナル・インク)から市販されている。しかしながらプロセス・チャンバ16は、カリフォルニア州サンタクララのApplied Materials, Inc.,(アプライド・マテリアルズ・インク)から市販されているエピタキシャル堆積チャンバ等の、この分野に周知の、このほかの任意のプロセス・チャンバを含む。
さらにまた、このシステムは、複数のプロセス・チャンバ16を備えてもよい。たとえば、プロセス・チャンバは、図1および2に示されているように、横方向と垂直方向に、互いに近接して配列させることができる。これらのプロセス・チャンバのそれぞれは、類似の構成としてもよく、あるいは異なる構成としてもよい。たとえば、複数のプロセス・チャンバのそれぞれは、試験片上に、たとえば堆積によって材料の層を形成するように構成されている。それに代えて、プロセス・チャンバのそれぞれは、リソグラフィ・プロセスのような、異なるプロセスのステップを実行するように構成されている。このようにして、これら複数のプロセス・チャンバは、組み合わせてレジストのパターン形成を行うように構成させてもよい。
一実施形態においては、システムは測定デバイス24を備える。測定デバイス24は、プロセス・チャンバ16による加工に続いて試験片上に存在する欠陥の検出を行うように構成されている。たとえば測定デバイス24は、試験片上の欠陥を光学的に、あるいは電子ビームまたはイオン・ビーム等の荷電粒子ビームを用いて検出するように構成されている。また測定デバイスを、1ないしは複数の光学的方法および/または1ないしは複数の荷電粒子ビームを使用して試験片上の欠陥を検出することのできるハイブリッド測定デバイスとしてもよい。それに加えて試験片の照明された部分のイメージを形成するべく、この測定デバイスを構成してもよい。さらに測定システムを、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージを形成するように構成してもよい。システムのプロセッサは、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータおよび/または特性、および/または測定ツールの特性および/またはパラメータの間の関係を決定するように構成されている。
またこの測定デバイスは、試験片上に存在する欠陥を検出するように構成された、この分野において周知の任意のデバイスを含む。たとえば、試験片上に形成された構造の限界寸法を測定するように、測定デバイスを構成してもよい。その種のデバイスには、限定の意図ではないが、走査型電子顕微鏡またはスキャタロメータを含めることができる。それに加えて測定デバイスは、試験片のオーバーレイ寸法を決定するように構成する。このように測定デバイスは、たとえばリンニク(Linnik)顕微鏡またはスキャタロメータを含む。この測定デバイスは、試験片上に形成された膜の厚さを決定するように構成されたものでもよい。その種の測定デバイスの例としては、限定の意図ではないが、楕円偏光測定器および反射率計を挙げることができる。この種の測定デバイスは、限定する意図ではないが、カリフォルニア州サンノゼのKLA‐Tencor(KLA‐テンコー)、カリフォルニア州サンタクララのApplied Materials(アプライド・マテリアルズ)、イリノイ州シャウムバーグのHitachi America, Ltd.,(ヒタチ・アメリカ・リミテッド)、ミシガン州ブルームフィールドヒルのTSK America, Inc.,(TSKアメリカ・インク)を含む各社から市販されている。
上記に加えて、このシステムは1ないしは複数の測定デバイスを備えることができる。その1ないしは複数の測定デバイスは、異なる構成または類似の構成とすることができる。このようにして、システムを多くの試験片の欠陥を並列に検出するように構成させることができる。それに代えて、1つの試験片上の異なるタイプの欠陥を連続的に検出するよにシステムを構成してもよい。1ないしは複数の測定デバイスを含むシステムの例が、Levy(レビィ)ほかによる『Method and systems for determining a critical dimension, a presence of defects and a thin film characteristic of a specimen(試験片の限界寸法、欠陥の存在、および薄膜特性を決定するための方法およびシステム)』と題された米国特許出願第09/957,468号に例示されており、当該出願については、これに完全に示されているものとして参照により援用されている。
このほかにも、Levy(レビィ)ほかの特許文献1、Levy(レビィ)ほかの特許文献2、Galbraith(ガルブレイス)の特許文献3、Joseph(ジョセフ)ほかの特許文献4、Wihl(ウイル)ほかの特許文献5、Broadbent, Jr.(ブロードベント・ジュニア)ほかの特許文献6、Sandland(サンドランド)ほかの特許文献7、Levy(レビィ)ほかの特許文献8、Galbraith(ガルブレイス)の特許文献9、Sandland(サンドランド)ほかの特許文献10、Wihl(ウイル)の特許文献11、Pecen(ピーセン)の特許文献12、Sandland(サンドランド)ほかの特許文献13、Saadat(サアダット)ほかの特許文献14、Specht(スペシュト)ほかの特許文献15、Davidson(デイビッドソン)の特許文献16、Tsai(ツァイ)ほかの特許文献17、Chadwick(チャドウィック)ほかの特許文献18、Vaught(ボウト)ほかの特許文献19、Danielson(ダニエルソン)ほかの特許文献20、Neukermans(ニューカーマンス)ほかの特許文献21、Jann(ジャン)ほかの特許文献22、Vaught(ボウト)ほかの特許文献23、Wells(ウェルス)ほかの特許文献24、Evans(エバンス)ほかの特許文献25、Emery(エメリー)ほかの特許文献26、Gross(グロス)の特許文献27、Wihl(ウイル)ほかの特許文献28、Johnson(ジョンソン)ほかの特許文献29、Emery(エメリー)ほかの特許文献30、Vaez‐Iravani(バエズ‐イラバニ)の特許文献31、Nikoonahad(ニコーナハド)の特許文献32、Tsai(ツァイ)ほかの特許文献33、Nikoonahad(ニコーナハド)ほかの特許文献34、Jordan, III(ジョーダンIII世)ほかの特許文献35、Nikoonahad(ニコーナハド)ほかの特許文献36、Addiego(アッディエゴ)の特許文献37、Rosengaus(ローゼンガウス)ほかの特許文献38、Wihl(ウイル)ほかの特許文献39、Chuang(チュアン)ほかの特許文献40、Tsai(ツァイ)ほかの特許文献41、Leslie(レスリー)ほかの特許文献42、Elyasaf(エリヤサフ)ほかの特許文献43、Alumot(アルモット)ほかの特許文献44、Almogy(アルモジー)の特許文献45、およびNikoonahad(ニコーナハド)ほかの特許文献46に、試験片表面上における欠陥の存在を決定するための方法と測定デバイスの例が示されており、これらすべての特許は、これに完全に示されているものとして参照により援用されている。これとは別に、欠陥検査方法および測定デバイスの例が、Elyasaf(エリヤサフ)ほかの特許文献47、Reinhron(ラインフロン)ほかの特許文献48、Lehan(レハン)の特許文献49、Feuerbaum(フェウエルバウム)ほかの特許文献50、およびAlmogy(アルモジー)ほかの特許文献51に示されており、これらは、これに完全に示されているものとして参照により援用されている。さらに、欠陥検査方法および測定デバイスの例が、Dotan(ドータン)の特許文献52、Dotan(ドータン)の特許文献53、Ben‐Porath(ベン‐ポーラト)の特許文献54、Harvey(ハーベイ)ほかの特許文献55、Karpol(カルポル)ほかの特許文献56、Pearl(パール)ほかの特許文献57、およびKenan(ケナン)ほかの特許文献58に示されており、これらは、これに完全に示されているものとして参照により援用されている。したがって、これに記述されている実施形態は、参照によりこれに援用されているすべての特許に示されている任意のシステムと方法の特徴を組み込む。
また、このシステムは修復ツール26を備える。修復ツール26は、試験片で検出された1ないしは複数の個別の欠陥を修復するように構成されている。この修復ツールは、測定デバイスによる検出に続いて、あるいは測定デバイスによる検出の間に試験片上の1ないしは複数の欠陥を修復するように構成されている。たとえば、ここに述べられているように、プロセス・チャンバに対する測定デバイスの結合に類似の態様で測定デバイスを修復ツールへ結合することができる。修復ツールは、測定デバイスの一部として構成してもよい。たとえば、測定デバイスと修復ツールは、共通の電源、共通のステージ、共通のハンドラ、および/または共通のプロセッサを持つことができる。このようにすれば、共通ステージ上に試験片が配置されている間、測定デバイスと修復ツールの両方が測定と修復のために試験片へアクセスすることができる。結合された測定デバイスと修復ツールは、測定と修復のための1ないしは複数のサブシステムを含む。たとえば結合されたツールは、測定用の光学サブシステムや修復用のe‐ビーム・サブシステム、測定用の光学サブシステムや修復用のイオン・ビーム・サブシステム、測定と修復用の1ないしは複数のe‐ビーム・サブシステム、およびそのほかの、この分野において周知の測定サブシステムと修復サブシステムの任意の組み合わせを含む。この方法においては、システムが結合されて、各種サブシステムの間のオペレーションを切り替え、測定と修復を実施することができる。それに加えて、結合されたツールは、1ないしは複数の測定サブシステムおよび1ないしは複数の修復サブシステムを含む。さらに、これら各種のサブシステムは、実質的に同時に動作するように構成されている。このようにして、欠陥の検出と検出された欠陥の修復を実質的に同時に行うことができる。
修復ツールは、化学補助レーザ除去ツール、レーザ誘導衝撃波除去ツール、あるいは粒子ビームを用いた修復ツールとすることができる。化学補助レーザ除去ツールの一例は、1998年4月1〜3日に開催されたFine Particle Society(微粒子学会)の第28回年次会議において紹介されたイスラエルのOramir Semiconductor Equipment Ltd.,(オラミール・セミコンダクタ・エクイップメント・リミテッド)のGenut(ジェナット)ほかによる非特許文献1に示されており、当該文献についてはこれに完全に示されているものとして参照により援用されている。この種のツールは、酸素と窒素の化学的性質を基礎として、レーザ誘導燃焼と酸化プロセスのために使用される混合気体が剥離プロセス・チャンバ内に吹き込まれるように構成されている。反復レートを変えることができ、ピーク強度を変えることができる248nmのエキシマ・レーザ・パルスが、特別に設計された光学系を使用してサンプル上に入射される。このレーザ・ビームがウエファ全体にわたってスキャンされる。剥離プロセスの間に、フォトレジストと、無機物を含むすべての埋め込まれた夾雑物が除去される。たとえば、この除去ツールは、試験片から粒子を除去することができる。粒子は、試験片のフロントサイドおよび/またはバックサイドの表面にある。反応生成物は、連続的にプロセス・チャンバからポンプ・アウトされる。
レーザ誘導衝撃波除去ツールは、集束レーザ・ビームまたはそのほかの手段を使用し、粒子直近の表面の上方のポイントにおいて衝撃波を生成することによって表面から粒子が除去されるように構成されている。各衝撃波は、衝撃波の発生ポイントの近傍における表面上のあらゆる粒子を分離し、除去するに充分なピーク圧力勾配を有する。この種の除去ツールの一例がVaught(ボウト)の特許文献59に示されており、当該特許についてはこれに完全に示されているものとして参照により援用されている。
粒子ビームを用いた修復ツールは、試験片上の物質を変えるように構成されており、それには試験片から材料を除去すること、あるいは試験片上に材料を配置することが含まれる。たとえば修復ツールは、パッシベーションを通して穿孔したり、金属ラインを切断したりするように構成されている。それに加えて修復ツールは、導電性材料や誘電性材料を堆積するようにも構成されている。粒子ビームを用いた修復ツールは、集束イオン・ビーム(『FIB』)テクニックを実行するように構成されている。このテクニックにおいては、ガリウム・イオンのビームが集束させられ、サンプルの表面にわたってスキャンされる。イオン・ビームとサンプルの相互作用が表面からの原子の放出(スパッタリング)、および2次電子とイオンの生成を招く。有機金属ガスを分解するように構成された動作条件下の有機金属ガスの存在下においては堆積も可能になり、有機金属ガスが表面に吸収され、材料の層を形成する。この種の粒子ビームを用いた修復ツールは、たとえば、マサチューセッツ州ピーボディのMicron Corporation(ミクロン・コーポレーション)から入手することができる。
さらなる実施形態においては、このシステムは、図1および2に示されているように、検査ツール28を備えることができる。検査ツール28は、修復に続いて試験片上に残存している欠陥を検出するように構成されている。また検査ツールは、試験片のイメージを形成するように構成されている。それに加えてこの検査ツールを、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性、および/または複数の試験片の集まりのイメージを形成するように構成することができる。システムのプロセッサは、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性、および/または測定ツールの特性またはパラメータの間の関係を決定するように構成されている。この検査ツールは、たとえば走査型電子顕微鏡(『SEM』)を含む。走査型電子顕微鏡は、概して、試験片にわたって電子ビームをスキャンし、試験片によって反射、散乱、および/または透過された電子を検出することによって試験片のイメージを生成することを含む。イメージは、電圧コントラスト・イメージとなり、試験片の欠陥の検出または検査(すなわち、レビュー)に使用することができる。走査型電子顕微鏡システムの例は、Saito(サイトウ)ほかの特許文献60、Yonezawa(ヨネザワ)の特許文献61、Meisburger(マイスブルガー)ほかの特許文献62、Meisburger(マイスブルガー)ほかの特許文献63、Meisburger(マイスブルガー)ほかの特許文献64、Meisburger(マイスブルガー)ほかの特許文献65、Richardson(リチャードソン)ほかの特許文献66、Todokora(トドコラ)ほかの特許文献67、およびAdler(アドラー)ほかの特許文献68に示されており、これらの文献については、これに完全に示されているものとして参照により援用されている。適切な走査型電子顕微鏡は、カリフォルニア州サンノゼのKLA‐Tencor(KLA‐テンコー)から入手することができる。この検査システムは、欠陥が電気的欠陥であるか否か(すなわち、試験片上に形成されたデバイスのパフォーマンスに有害な影響を与えるおそれのある欠陥)、したがって修復する必要があるか否かを決定するように構成されている。電圧コントラスト・イメージを使用して半導体ダイのテスト構造上の電気的欠陥を検出するための方法の一例が、Satya(サチャ)ほかの特許文献69に示されており、この文献については、これに完全に示されているものとして参照により援用されている。
またこのシステムは、上記の検査ツールとして構成することのできる欠陥レビュー・デバイスを備える。たとえば欠陥レビュー・デバイスは、試験片上に残存している欠陥を検出し、光学的に、あるいは電子ビームまたはイオン・ビーム等の荷電粒子ビームを用いて修復するように構成されている。欠陥レビュー・デバイスは、1ないしは複数の光学的方法および/または1ないしは複数の荷電粒子ビームを使用して試験片上の欠陥を検出するハイブリッド欠陥レビュー・デバイスでよい。しかしながら欠陥レビュー・デバイスは、検査ツール24より高い分解能を有するように構成されている。より高い分解能における欠陥の検出は試験片上の位置の検査に要する時間の増加を招くので、欠陥レビュー・デバイスは、検査ツールより少ない数の試験片上の位置を検査するように構成されている。たとえば検査ツールは、実質的に試験片全体の欠陥を検出するように構成されている。市販されている欠陥レビュー・ツールの例としては、KLA‐Tencor(KLA‐テンコー)から市販されているev300およびApplied Materials, Inc.,(アプライド・マテリアルズ・インク)から市販されているSEMVISION(SEMビジョン)といったe‐ビーム・ツール、およびKLA‐Tencor(KLA‐テンコー)から市販されているCRS、およびCarl Zeiss, Inc.(カール・ツァイス・インク)から市販されている、レティクルを検査するように構成されたAIMSレビュー・ステーションといった光学ツールが挙げられる。
これに述べているいずれかの実施形態に従って構成することのできるプロセッサは、測定デバイスによって検出された欠陥の位置に応じた、測定デバイスからの出力を受け取るように構成されている。このプロセッサは、欠陥レビュー・デバイスが検出された欠陥の位置に応じてプロセッサからの出力を受け取ることができるように、欠陥レビュー・デバイスへ結合される。このように欠陥レビュー・デバイスは、修復に続いて試験片上に検出された欠陥の位置を検査し、試験片上に残存している欠陥を検出するように構成されている。したがって欠陥レビュー・デバイスは、検査ツールより少ない位置を検査するように構成されている。このように欠陥レビュー・デバイスは、スループットを下げることなく、より高い分解能を有することができる。さらに一実施形態においてはシステムが欠陥レビュー・デバイスを備えずに、検査ツールを、前述のように構成されることに加えて、修復に続いて試験片上に残存している欠陥を検出するように構成させてもよい。たとえば検査ツールを可変分解能を有するように構成する。
検査ツールまたは欠陥レビュー・デバイスを、前述した測定デバイスとプロセス・チャンバの結合に類似の方法で測定デバイスおよび/または修復ツールと結合させることができる。また検査ツールまたは欠陥レビュー・デバイスは、測定デバイスおよび/または修復ツールの一部として構成することもできる。たとえば、検査ツールまたは欠陥レビュー・デバイス、および測定デバイスおよび/または修復ツールは、共通の電源、共通のステージ、共通のハンドラ、および/または共通のプロセッサを持つことができる。したがって、検査ツール、欠陥レビュー・デバイス、さらに測定デバイスおよび/または修復ツールは、いずれも、共通ステージ上に試験片が配置されている間、検査、測定、および/または修復のために試験片へアクセスすることができる。
一実施形態においては、図1および2に示されているように、システムがプロセッサ30を備えている。プロセッサ30は、図3の概略ブロック図に示されているように、測定デバイス24と修復ツール26とに結合されている。このプロセッサは、測定デバイスからの出力を受け取るように構成されている。この出力は、測定デバイスによって検出された試験片上の欠陥に応じたものである。プロセッサは、さらに、この出力に応答して修復ツールに結合されている機器のパラメータを変更するように構成されている。たとえばプロセッサ30は、測定デバイスの出力から、検出された欠陥が修復可能か否かを決定するように構成されている。それに加えて、プロセッサは、修復可能と決定された欠陥だけを修復ツールが修復するように、修復ツールに結合されている機器のパラメータを変更するように構成されている。
またこのプロセッサは、試験片上の欠陥の数、試験片上の欠陥のタイプ、あるいはそのほかの、試験片上の欠陥の特徴に基づいて、その試験片にリワークが必要であるか否かを決定するようにも構成されている。それに代えてオペレータが試験片の再加工の要否を決定できるように、その種の情報をプロセッサからオペレータ、プロセス・エンジニアへ提供するようにしてもよい。リワーク・プロセスの例としては、ウエファ上の欠陥の数に起因するリソグラフィ・ステップに続く試験片からのレジストの剥離と、当該試験片に対するリソグラフィ・ステップの反復が挙げられる。いくつかのプロセスでは、試験片のリワークが可能でないことがある。さらにプロセッサは、試験片上の欠陥の数、タイプ、あるいはそのほかの特徴に基づいて、修復ではなく、さらに加工を行うべきであるか否かを決定するようにも構成されている。たとえば、試験片のクリーニングにプロセス・ツールを使用することができる。測定デバイスを使用して、クリーニングに続いて試験片上の欠陥を検出することができる。欠陥の数が予め決定済みの数を超える場合には、個別の欠陥の修復に代えて試験片の再クリーニングを行うこともできる。測定デバイスを使用し、再クリーニングに続いて試験片上の欠陥を再検出することができる。
上記に加えてプロセッサを、検出された欠陥が試験片のクリティカルな部分内にあるか、非クリティカルな部分内にあるかを決定するように構成させることもある。たとえばプロセッサは、レティクル・データベース、フロアプラン、またはネットリストといったデータベースからプロセッサへ提供される情報を使用して、欠陥が試験片のクリティカルな部分であるか、非クリティカルな部分内にあるかを決定するように構成されている。このようにプロセッサは、いずれの欠陥を修復すべきかを決定するように構成されている。たとえばプロセッサは、試験片のクリティカルな部分内の欠陥だけが修復されるべきとする決定を行うことができる。このようにプロセッサは、試験片の非クリティカルな部分内の欠陥ではなく、クリティカルな部分内の欠陥が修復されるように、修復ツールに結合された機器のパラメータを変更することができる。欠陥が試験片のクリティカルな部分内にあるか否かを決定する方法の例は、2002年5月2日に出願された米国特許出願第10/139,109号『Capturing Designer Intent in Reticle Inspection(レティクル検査における設計者意図の捕捉)』およびGlasser(グラッサー)ほかの特許文献70に示されており、これらは、完全にここに示されているものとして参照によりこれに援用されている。
プロセッサは、測定デバイスの出力から試験片上の検出された欠陥の位置および/または特性を決定するように構成されている。さらにプロセッサは、検出された欠陥の位置および/または特性に応じて修復ツールに結合された機器のパラメータを変更するように構成されている。たとえばこのプロセッサは、基本的に測定デバイスの座標を修復ツールの座標へ変更することができる。それとは別に、測定デバイスと修復ツールが共通ステージを有する場合には、測定デバイスと修復ツールの座標が、実質的に同一になり、それにより一方のツールの座標から他方への変換をなくすことができる。それに加えてこのプロセッサは、サイズとタイプといった欠陥の特性に関係する測定デバイスからの情報を修復ツールへ提供することができる。類似の態様に従って、プロセッサは、測定デバイスの座標を検査ツールの座標へ変換することができる。その場合にプロセッサは、検査ツールが修復された欠陥だけの検査を行うように、検査ツールに結合されたパラメータを変更することになる。それに加えて、測定デバイスと検査ツールが共通ステージを有する。このように測定デバイスの座標と検査ツールの座標を実質的に同じにする。
プロセッサは、さらに、検出された欠陥に応じて、出力を生成するように構成されている。この出力は、ビジュアル信号、可聴信号、測定デバイスから生成された情報の集まり、たとえば、限定する意図ではないが、欠陥の位置、欠陥の特性、および欠陥が修復可能か否かを含めることができる。それに加えてこの出力は、試験片、試験片上の欠陥、プロセス・ツール、測定ツール、修復ツールに関する情報をオペレータまたはプロセス・エンジニアへ提供することのできる任意の出力を含む。
したがってプロセッサは、フィードフォワード制御テクニックを使用して修復ツールを制御するように構成されている。この場合においては、閉ループ欠陥除去および/または修復を行うようにシステムを構成させる。この種の閉ループ欠陥除去および/または修復は、歩留まり管理プロセスの効率と完全性を改善することができる。たとえば、欠陥はランダムに(すなわち非線形に)試験片上に形成される;したがって、欠陥形成の原因の識別と制御は、極めて予測しがたいものとなる。そのため、従来的な制御方法では、試験片上の欠陥の形成を充分に減少させることができない。したがって、試験片上に欠陥が形成されたときにそれらを除去し、あるいは修復するように、従来の歩留まり管理プロセスとともに、あるいはそれに代えて使用することのできる、ここに述べているような方法は、試験片上の欠陥を減らすという点で実質的に従来の歩留まり管理プロセスより効率的である。それに加えてこのシステムは、試験片上の欠陥が存在すると決定された位置においてのみ修復を行うように構成されている。それとは対照的に、従来の試験片のクリーニングは、試験片上にある欠陥を考慮することなく、特定の欠陥の矯正を伴わずに、全体の試験片に対して行われていた。
プロセッサ30は、フィードバック制御テクニックおよび/または現場制御テクニックを使用して修復ツールを制御するようにも構成されている。たとえば、図3に示されているようにプロセッサ30を検査ツール28へ結合することができる。プロセッサは、修復ツールを使用する修復に続いて試験片上に残存している欠陥に応じた、検査ツールからの出力を受け取るように構成されている。それに加えて、プロセッサは、検査ツールからの出力に応答して修復ツールに結合された機器のパラメータを変更するように構成されている。たとえば、検査の後に続く試験片の追加の修復の間に、修復ツールを制御するようにプロセッサを構成する。したがって、修復の後に残存しているある特定の欠陥を追加の修復ステップの間に除去することができる。また、このプロセッサは、フィードバック制御テクニック、フィードフォワード制御テクニック、および/または現場制御テクニックを使用して測定デバイスを制御するように構成されている。
また、プロセッサ30は、図3に示されているようにプロセス・チャンバ16へ結合されている。プロセッサは、プロセス・チャンバのパラメータを変更するように構成されている。たとえば、修復に続いて試験片上に残存している欠陥を示す、検査ツールからの出力に応答してプロセス・チャンバに結合された機器のパラメータを変更するように構成されている。その場合にこのプロセッサは、プロセス・チャンバ内におけるプロセスの間に試験片上に形成される修復不能な欠陥がより少なくなるようにフィードバック制御テクニックを使用してプロセス・チャンバを制御することができる。それに加えてプロセッサは、検査された試験片の、その先の加工のために、フィードフォワード制御テクニックを使用してプロセス・チャンバを制御することができる。たとえば、エッチング不足のビアをSEM等の検査ツール内に生成される電圧コントラスト・イメージから検出し、プロセッサが、続く試験片のエッチング時間を増加するように、および/または同じ試験片をさらにエッチングするための条件を設定してエッチング不足のビアをさらに穿孔するようにプロセス・チャンバを制御することができる。たとえばプロセッサを、欠陥が電気的欠陥であるか否か、かつ/またはデバイスのパフォーマンスに有害な影響を与えるおそれのある欠陥であり、したがって修復する必要があるか否かを決定するように構成させる。プロセッサは、電圧コントラスト・イメージを使用して、試験片上に検出された欠陥が電気的欠陥であるか否か、かつ/またはその試験片上に形成されたデバイスのパフォーマンスに有害な影響を与えるおそれのある欠陥(すなわち、短絡または開放等の電気的な障害を引き起こし、試験片上に形成されたデバイスの速度を低下させ、デバイスを非作動にする欠陥)であるか否かを決定することができる。テスト構造上の電気的欠陥を検出するための方法の一例が、Satya(サチャ)ほかの特許文献69に示されており、この文献については、これに完全に示されているものとして参照により援用されている。
類似の態様で、測定デバイスからの出力に応答してプロセス・チャンバに結合された機器のパラメータを変更するようにプロセッサが構成されている。したがって、プロセッサは、フィードバック制御テクニックまたはフィードフォワード制御テクニックを使用して、プロセス・チャンバ内におけるプロセスの間に試験片上に形成されることのある合計の欠陥(たとえば、修復可能および/または修復不能)がより少なくなるようにプロセス・チャンバを制御することができる。測定デバイスによって欠陥が検出された試験片のその後の加工のために、プロセス・チャンバは、フィードフォワード制御テクニックを使用して、これに述べているような修復ツールと同様に機能することができる。
したがって、ここに述べた閉ループ欠陥修復に加えて、閉ループ欠陥低減を実行するようにシステムが構成されている。この種の閉ループ欠陥低減は、歩留まり管理プロセスの効率と完全性を改善することができる。それに加えて、この種の閉ループ欠陥低減は、半導体製造プロセスの歩留まりを改善することができる。たとえば、前述したように、欠陥はランダムに(すなわち非線形に)試験片上に形成される。したがって、欠陥形成の原因の識別と制御は、極めて予測しがたいものとなる。たとえば、従来の進んだプロセス制御方法は、系統的に(つまり、線形に)試験片上に形成された欠陥を低減させるように設計されているので、試験片上の欠陥の形成を充分に低減させることができない。この意味において、従来の歩留まり管理プロセスとともに、あるいはそれに代えて、閉ループ欠陥低減に使用することのできる、ここに述べている方法は、従来の歩留まり管理プロセスのみに比べて、実質的により多くの欠陥を低減させることができる。
プロセッサは、フィードバックまたはフィードフォワード・アルゴリズムを使用してプロセス・ツールに結合されている機器のパラメータを変更することができる。フィードバックまたはフィードフォワード・アルゴリズムは、限定する意図ではないが、試験片の処理を行った特定のプロセス・ツール、試験片の処理に使用されたプロセス・パラメータ、プロセス・ツールの履歴および/または試験片上に行ったプロセス・ステップ、およびプロセス・ツールのメンテナンス履歴に関する変数を含むアルゴリズムを含む。このアルゴリズムは、KLA‐Tencor(KLA‐テンコー)から入手可能なCatalyst(カタリスト)等の市販ソフトウエアに含まれることのある最新のプロセス制御アルゴリズムとともに実行することができる。上記の変数に関するデータは、ファブ全体のプロセス・ツールから収集される情報を含み、ファブ・データベース内に整理し、ストアすることができる。
図3に示されているように、システムは、システムの1ないしは複数のエレメント、すなわちプロセス・チャンバ、測定デバイス、修復ツール、検査ツールに結合される単一のプロセッサを備えている。図4は、複数のプロセッサを含むシステムの別の実施形態の概略ブロック図である。このシステムは、システムの1ないしは複数のエレメントに結合されるローカル・プロセッサを含む。たとえば、このシステムは、測定デバイス24に結合されたプロセッサ32、修復ツール26に結合されたプロセッサ34、検査ツール28に結合されたプロセッサ36を含む。1ないしは複数のローカル・プロセッサはリモート・プロセッサに結合される。たとえば、図4に示されているようにローカル・プロセッサ32、34、36がリモート・プロセッサ38に結合されている。このようにしてリモート・プロセッサ38を、測定デバイス24、修復ツール26、検査ツール28へ間接的に結合することもできる。リモート・プロセッサ38は、このシステムの1ないしは複数のエレメントと直接結合される。たとえば、図4に仮想線で示されているように、リモート・プロセッサ38をプロセス・チャンバ16へ直接結合させることができる。しかしながら、たとえば各プロセッサのパフォーマンス・ケイパビリティ、それぞれのプロセッサによって実行されることになるオペレーション、各オペレーションの許容可能な実行時間に依存させてシステム内に含まれているプロセッサまたは複数のプロセッサに関する適切な構成を変化させることができる。
さらなる実施形態においては、プロセス・チャンバ内における試験片の処理の間に試験片上の欠陥を検出するように測定デバイスを構成させている。たとえば測定デバイスを、図5と6に示されているようにプロセス・チャンバへ結合させることができる。図5に示されているように、ステージ40をプロセス・チャンバ42内に配置することができる。このプロセス・チャンバは、たとえばここで述べているような堆積プロセス・チャンバ、熱成長プロセス・チャンバ、リソグラフィ・プロセス・チャンバ、あるいはエッチング・プロセス・チャンバを含む。ステージ40は、たとえばプロセス・チャンバ内における試験片の処理の間に試験片44を支持するように構成されている。プロセス・チャンバ42の外にあって、しかもプロセス・チャンバ内に配置されるステージ40へ結合できるように、測定デバイス46をプロセス・チャンバ42へ結合することもできる。たとえば、プロセス・チャンバ42は、プロセス・チャンバの1ないしは複数の壁に配置された実質的に透明な材料の比較的小さい1ないしは複数の部位48を備えることができる。部位48は、プロセス・チャンバの外側の測定デバイスのエネルギ・ソースから、プロセス・チャンバ内の試験片の表面へ、エネルギのビームを伝播させるように構成させることができる。また部位48は、試験片の表面から返されるエネルギのビームを、プロセス・チャンバ42の外側の測定デバイス46のコレクタまたは検出器へ伝播させるように構成させることもできる。
この実質的に透明な材料は、エネルギ・ソースからのエネルギのビームと返されるエネルギのビームが、向けられたエネルギ・ビームや戻されるエネルギ・ビームの特性に望ましくない変更をもたらすことなくプロセス・チャンバの部位48を通ることのできるような光学的特性または材料特性を有することができる。たとえば、エネルギ・ビームの特性の望ましくない変更として、限定する意図ではないが、エネルギ・ビームの偏波または波長の変更、エネルギ・ビームの色収差の増加が挙げられる。それに加えて、部位48は、ここに完全に示されているものとして参照により援用するGrimbergen(グリンベルゲン)ほかの特許文献71に記述されているように、試験片の処理の間に使用した化学物質からの処理の残渣の堆積が低減されるように構成されている。プロセス・ツールに結合される測定デバイスの例は、Rosengaus(ローゼンガウス)ほかの特許文献72およびLevy(レビィ)ほかの米国特許出願第09/956,849号『Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography(リソグラフィの前、間、または後における試験片の特性を決定するための方法およびシステム)』に例示されており、これらの文献については、ここに完全に示されているものとして参照により援用する。
しかしながらプロセス・チャンバに測定デバイスを結合させるための適切なシステムと方法は、たとえば、プロセス・チャンバの構成および/または測定デバイスの構成によって変えることができる。たとえば、図6に示されているように、プロセス・チャンバ52の壁の比較的小さい部位50の配置と寸法を、プロセス・チャンバに応じて変化させることができる。図6は、化学的機械的研磨ツールの部分を例示しており、それの比較的小さい部位50は、研磨パッド54と研磨プラテン56の内に配置されている。この比較的小さい部位50は前述のように構成されている。研磨プラテン56は、研磨パッド54を支持するように構成されている。ディスペンス・アーム58は、研磨ヘッド60が試験片62を研磨パッド54に対して保持している間に、研磨パッド54上に研磨液を供給するように構成されている。研磨ヘッド60は、多数のスプリング64またはそのほかの適切な機械的デバイスを含むが、それらは、試験片62の背面に調整できる圧力を加えるように構成されている。研磨ヘッド60は、研磨ヘッドの中心軸の周りで回転するように構成されている。それに加えて、研磨ヘッド60は、研磨プラテンに関して線形にも移動するように構成されている。このように部位50は、研磨の間に測定デバイス66が試験片上の欠陥またはそのほかの試験片の特性を検出できるように、研磨の間にエネルギのビームを試験片へ、試験片から伝達するように構成されている。
上記に加えて、プロセス・チャンバ内の化学的状態や環境的状態に測定デバイスを曝すのを低減し、さらには実質的に排除するべく、比較的小さい部位の配置と寸法を変更することができる。さらに、測定デバイスがプロセス・チャンバによって実行されるプロセス・ステップのオペレーション、パフォーマンス、または制御を変更しないように、測定デバイスをプロセス・チャンバの外へ結合させることができる。
図5および6に示されているように、測定デバイスは、プロセス・チャンバ内の試験片の加工の間に出力を生成するように構成されている。測定デバイスの出力は、試験片上に存在する欠陥またはそのほかの、試験片上に形成される層の厚さといった試験片の特性に対応するものである。測定デバイスは、処理の間に連続的に、あるいは様々な時間間隔で出力を生成するように構成されている。このようにプロセッサは、測定デバイスから出力を受け取り、出力からプロセスの特性を決定する特色を獲得するように構成されている。さらにまた、この特色に応答してプロセス・チャンバに結合された機器のパラメータを変更するようにも、プロセッサは構成されている。たとえばプロセッサは、特色の特異点からプロセスのエンドポイントを決定することができる。したがって、エンドポイントの決定時に、プロセッサは、プロセス・チャンバを制御してプロセスを縮小し、最終的に終了させることができる。それに加えて、プロセッサはこの特色をプロセス・チャンバの現場制御のために使用することができる。
さらなる実施形態においては、システムを通って試験片が移動するとき、試験片の欠陥またはそのほかの特性を検出するように測定デバイスを構成させている。たとえば図7は、プロセス・チャンバ72に結合された測定デバイス70の実施形態を例示している。このプロセス・チャンバは、チャンバ開口74を含み、ロボット・ウエファ・ハンドラ(図示せず)によってプロセス・チャンバ内のステージ78上に試験片76が配置されるように構成されている。図7に示されているように、測定デバイス70をチャンバ開口74に近接して配置させるようにして、測定デバイス70をプロセス・チャンバ72に外部結合させることができる。図7においては、チャンバ開口74の上方に測定デバイス70が配置される形で示されているが、測定デバイス70をチャンバ開口74の下側に配置することもできる。このように測定デバイス70は、試験片がロボット・ウエファ・ハンドラによってチャンバ開口74を介してプロセス・チャンバ内へ、またはそこから移動させられるとき、試験片のおもて面または背面上の試験片の欠陥またはそのほかの特性を検出するように構成されている。この測定デバイスは、図5〜7に示されているように、修復ツールへ結合する。
図8は、ロボット・ウエファ・ハンドラ82に結合された測定デバイス80の実施形態を例示している。たとえば、サポート・バー84により測定デバイス80をロボット・ウエファ・ハンドラへ結合させることができる。サポート・バー84は、測定デバイス80の位置をハンドラ82の上方に維持するように構成されている。さらに、サポート・バー84は、測定デバイスによる測定時に、ロボット・ウエファ・ハンドラの移動によって生じた振動を吸収することにより、その種の振動の効果を縮小するように構成されている。図8においては、ロボット・ウエファ・ハンドラ82の上方に配置される測定デバイス80が示されているが、ロボット・ウエファ・ハンドラ82の下側に測定デバイス80を配置することもできる。このように測定デバイスは、試験片がシステム内を移動する間に、試験片86のおもて面または裏面の欠陥を検出するように、あるいは試験片のそのほかの特性を決定するように構成されている。ロボット・ウエファ・ハンドラは、これに示されている実施形態のいずれかに従って構成されている。したがって、たとえばロード・チャンバからプロセス・チャンバへ、プロセス・チャンバから修復ツールへ、さらには修復ツールから検査ツールまたはロード・チャンバへ、測定デバイス80はシステム内でロボット・ウエファ・ハンドラとともに移動するように構成されている。図5〜8に示されているように、検査ツールは、プロセス・チャンバ、修復ツールまたはロボット・ウエファ・ハンドラへを結合されている。たとえば、SEM検査ツールを共通バキューム内のFIB修復ツールへ結合させることができる。
プロセッサ、ローカル・プロセッサ、リモート・プロセッサは、ここで述べているように、ソフトウエアを実行し、上記の実施形態に従って動作するように構成されたコンピュータ・システムとすることができる。コンピュータ・システムは、システムを制御し、検出したエネルギを処理するためのコンピュータ・プログラムをストアすることのできるメモリ・メディアを備えている。この『メモリ・メディア』という用語はインストレーション・メディア、たとえばCD‐ROMまたはフロッピー(登録商標)ディスク等、DRAM、SRAM、EDO RAM、Rambus(ラムバス)RAM等のコンピュータ・システム・メモリ、あるいはたとえばハードドライブとする磁気メディアまたは光ストレージ等の不揮発性メモリを含む。同様に、そのほかのタイプのメモリ、あるいはそれらの組み合わせをメモリ・メディアに含めることもできる。それに加えて、メモリ・メディアは、プログラムが実行される第1のコンピュータ内に配置してもよく、あるいはネットワークを介して第1のコンピュータと接続される別の第2のコンピュータ内に配置してもよい。後者の場合には、第2のコンピュータは第1のコンピュータへ実行のためにプログラム命令を提供する。またコンピュータ・システムは、多様な形を取ることができ、それにはパーソナル・コンピュータ・システム、メインフレーム・コンピュータ・システム、ワークステーション、ネットワーク・アプライアンス、インターネット・アプライアンス、パーソナル・ディジタル・アシスタント(『PDA』)、テレビジョン・システム、あるいはそのほかのデバイスが含まれる。概して言えば、用語『コンピュータ・システム』は、メモリ・メディアからの命令を実行するプロセッサを有する任意のデバイスを包含するとして広く定義される。
メモリ・メディアは、試験片の少なくとも2つの特性を決定するシステムの動作のためのソフトウエア・プログラムをストアするように構成されている。ソフトウエア・プログラムを様々な方法で実現させることができ、それには特にプロシージャ‐ベース・テクニック、コンポーネント‐ベース・テクニックおよび/またはオブジェクト指向テクニックが含まれる。たとえば、ソフトウエア・プログラムは、ActiveX制御、C++オブジェクト、Java(登録商標)Beans、Microsoft Foundation Class(『MFC』)あるいはそのほかのテクノロジまたは技法を希望に応じて使用して具体化することができる。メモリ・メディアからのコードとデータを実行するホストCPU等のCPUは、前述の方法に従ったソフトウエア・プログラムを作成し、実行するための手段を含む。
各種の実施形態は、さらに、これまでの説明に従って実現される命令および/またはデータを搬送メディアによって受け取り、ストアすることを含む。適切な搬送メディアとしては、磁気または光メディア等のメモリ・メディアまたはストレージ・メディア、たとえばディスクまたはCD‐ROMをはじめ、ネットワークおよび/またはワイヤレス通信リンク等の通信メディアを介して運ばれる電気的、電磁気的、またはディジタル信号が挙げられる。
一実施形態においてはシステムをクラスタ・ツールとして配列することができる。たとえば、図1、2に示されているように、ロード・チャンバ10、プロセス・チャンバ16または複数のプロセス・チャンバ、測定デバイス24、修復ツール26、検査ツール28をユニット88内に配列させることができる。ユニット88内の環境状態は、当該ユニットを囲む空間の環境状態から実質的に独立して制御することができる。たとえば、ユニット内の環境は、周囲空気を化学的に濾過することによって、あるいは充分に純粋な気体の供給によって制御することができる。このようにして、限定する意図ではないがアンモニアとアミン群含有化合物、水、二酸化炭素、酸素を含む化学種を低減させるように、ユニット内の環境を制御することができる。それに加えて、ユニット内の環境状態を、システムに結合され、ここに述べている実施形態のいずれかに従って構成することができるプロセッサによって制御することができる。たとえばユニット88内の、相対湿度、微粒子数、温度等の環境状態をプロセッサによって制御することができる。この種のユニットは、広く『ミニ環境』と呼ばれる。またユニットは、前述したような共通ハンドラと前述したようなシステムの各エレメントのための共通電源を備える。
図9は、クラスタ・ツールとして構成させられた、試験片上の欠陥を修復するように構成されたシステムの実施形態の平面図である。図10は、このシステムの断面図を示している。したがって、図9と10の両方に示されているエレメントは、同一の参照番号を用いて示されている。図9に示されているように、このシステムは、前述のように構成することのできるロード・チャンバ90を備えている。たとえばロード・チャンバ90は、カセット92および/または多数のカセットを支持するように構成されている。またこのシステムはウエファ移送チャンバ94を備えている。ウエファ移送チャンバ94は、ウエファ移送アーム96を備えている。ウエファ移送アーム96は、横方向や垂直方向に移動してカセット92から試験片を取り出し、メイン・チャンバ98内へ試験片を移動させるように構成されている。またメイン・チャンバ98は、ロボット・ウエファ・ハンドラ100を備えている。ロボット・ウエファ・ハンドラ100は、ウエファ移送アーム96から試験片を受け取り、その試験片を図9内に仮想線で示されているようにシステム内の他のチャンバ、デバイス、またはツール内のステージ101上に配置するように構成されている。ウエファ移送アーム96とロボット・ウエファ・ハンドラ100は、さらに、これに述べているように構成されている。たとえば、ロボット・ウエファ・ハンドラ100は、図10に仮想線で示されているように、垂直方向に移動するように構成されている。
このシステムはプロセス・チャンバ102、測定デバイス104、修復ツール106および/または検査ツール108を備える。これらはここで述べているように構成されている。図9に示されているように、プロセス・チャンバ102、測定デバイス104、修復ツール106および/または検査ツール108を、横方向に互いに近接して配置することができる。それに加えて、システムの1ないしは複数のエレメントを、別のエレメントに近接させて垂直に配置することもできる。たとえば、図10に示されているように、測定デバイス110を、垂直方向にプロセス・チャンバ102に近接させて配置することができる。このように測定デバイス110を、プロセス・チャンバ102内で行われるプロセスの間、あるいはそれに続いて試験片上に存在する欠陥を検出するように構成させている。それに加えて検査ツール112を、垂直方向に修復ツール106に近接させて配置することができる。このように検査ツール112を、修復ツール106内で行われる試験片の修復の間、あるいはそれに続いて試験片を検査するように構成されている。さらにこのシステムは、複数のプロセス・チャンバ、複数の測定デバイス、複数の修復ツールおよび/または複数の検査ツールを備えることができる。プロセス・チャンバ、測定デバイス、修復ツールおよび/または検査ツールの適切な配置は、たとえばシステム内に含まれるチャンバ、デバイス、ツールによって実行されるオペレーションの数、システムに利用可能なフット・プリントによって変えることができる。
クラスタ・ツール内において、冷却しない限り試験片を処理できない場合に、試験片を冷却するように構成されたプロセス・チャンバ内で検査および/または修復が行われようにすることができる。クラスタ・ツールとともにスケジューリング・アルゴリズムを使用し、プロセス、欠陥の検出、修復に必要な時間を短縮することができる。別の実施形態においては、Jevtic(ジェブティック)の特許文献73、Jevtic(ジェブティック)の特許文献74、Jevtic(ジェブティック)の特許文献75に例示されているように、ここで述べているプロセッサのそれぞれを、マルチチャンバ・クラスタ・ツール内における処理、検査、修復に関するスケジュールが自動的に生成されるように構成することができ、これらの特許文献については、完全にここに示されているものとして参照により援用される。
プロセッサは、クラスタ・ツールのプロセス・チャンバ、測定チャンバおよび/または修復ツールに対して、優先度の値を割り当てるように構成されている。プロセッサは、割り当てられた優先度に従って試験片がチャンバからチャンバへ移動されるようにクラスタ・ツールのウエファ移送アームおよび/またはロボット・ウエファ・ハンドラを制御するように構成されている。またプロセッサを、優先的な移動が行われる前に利用可能となる時間量を決定するように構成する。実行されることになる優先的な移動の前の決定済みの時間量が充分であれば、プロセッサは、待機している間に、ウエファ移送アームおよび/またはロボット・ウエファ・ハンドラを制御して非優先的な移動を行わせることができる。たとえば、試験片に対して実行するプロセス・ステップの前の決定済みの時間量が充分であれば、ウエファ移送アームおよび/またはロボット・ウエファ・ハンドラが試験片を測定チャンバへ移動させることができる。このように、ここに述べているシステムは、プロセス・ステップの間を試験片が待機している間に、試験片の検査および/または修復を行うように構成されている。またプロセッサは、たとえばプロセス・チャンバおよび/または測定チャンバの可用性に応じて割り当て済み優先度を動的に変更することができる。さらにまたプロセッサは、たとえば、ウエファ・ハンドラが特定のシーケンスに従ってウエファを移動させるために必要な時間に基づいてプロセス・チャンバおよび/または測定チャンバに対して優先度を割り当てることができる。
ここで述べているようなシステムは、複数の冷却プロセス・チャンバまたはマルチ‐スロット冷却プロセス・チャンバを備えることができる。この種の複数の、またはマルチ‐スロット冷却プロセス・チャンバによって、ほかのウエファに対して別のチャンバ内のプロセス・ステップが行われている間に、複数のウエファを冷却することが可能になる。それに加えて、これに述べているようなプロセッサのそれぞれは、処理段階に応じてプロセス・シーケンス内の各ウエファに対して優先レベルを割り当てるように構成でき、完全にこれに示されているものとして参照により援用するLin(リン)ほかの特許文献76に示されているように、この優先レベルを使用してチャンバ間のウエファの移動の順序を決めることができる。このように、これに述べているシステムは、ウエファ加工ファシリティ内における異なるプロセス・チャンバ間をウエファが移動する効率を向上させることができる。
またこのシステムは、ここに述べているように、プロセス・チャンバ、測定デバイス、修復ツールおよび/または検査ツールと直接、あるいは間接的に結合されるプロセッサ114を備えることができる。それに加えてプロセッサ114は、これに述べているように構成されている。さらにこのシステムは、ここに述べている実施形態のいずれかに従って構成されている。
代替実施形態においては、試験片上の欠陥を修復するように構成されたシステムが、複数のスタンド・アロン・システムを備えている。たとえばそのシステムは、スタンド・アロン・プロセス・ツール、または複数のスタンド・アロン・プロセス・ツールとして配列される1ないしは複数のプロセス・チャンバを備えることができる。1ないしは複数のプロセス・チャンバを、スタンド・アロン・クリーニング・チャンバへ結合されるスタンド・アロン・クラスタ・ツールとして配列する。その種の実施形態においては、スタンド・アロン・クラスタ・ツールを、共通ハンドラによってクリーニング・チャンバへ結合することができる。ハンドラは前述したように構成されている。一実施形態においては、単一の試験片を、つまり一度に1つの試験片を加工するようにクリーニング・チャンバを構成させている。さあに、このシステムは、それぞれがスタンド・アロン・デバイスとして配列されるか、あるいは1つのスタンド・アロン測定デバイスに結合することのできる1ないしは複数の測定デバイスを含む。このシステムは、スタンド・アロン修復ツールまたは複数のスタンド・アロン修復ツールに配列される1ないしは複数の修復ツールを備えることができる。またこのシステムは、スタンド・アロン検査ツールまたは複数のスタンド・アロン検査ツールとして配列することのできる1ないしは複数の検査ツールを備えることができる。これらのスタンド・アロン・ツールは、互いに物理的に分離(つまり非集積化)されてもよいが、共通データ構造(カリフォルニア州サンノゼのKLA‐Tencorから市販されているKLARFF等)を使用し、または解釈して、欠陥の位置およびタイプといった欠陥情報を1ないしは複数の測定デバイスまたは検査ツールから、1ないしは複数の修復ツールまたは1ないしは複数のプロセス・ツールへ伝達することができる。一部の実施形態においては、スタンド・アロン・ツールが物理的に分離されているが、共通ハンドラによって結合されている。
図11は、試験片上の欠陥を修復し、その後の試験片上の欠陥の発生を抑えるための方法の実施形態を例示している。この方法は、ステップ116に示されているように、試験片の処理を含む。この試験片の処理には、限定する意図はないが、試験片上における材料の層の形成、試験片上におけるレジストのパターン形成、試験片のエッチング、試験片の研磨、試験片上における導電性または誘電性の層の堆積、試験片のクリーニングを含めることができる。この方法はまた、ステップ118に示されているように、試験片上に存在する欠陥の検出を含む。欠陥の検出は、ここに述べているようにして行うことができる。さらにこの方法は、ステップ120に示されているように、その欠陥が修復可能か否かの決定を含む。試験片上の欠陥の検出には、試験片上の欠陥の特性の決定を含める。それに加えて、試験片上の欠陥の検出に、試験片上の欠陥の位置の決定を含めることができる。さらにまた欠陥の検出は、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性および/または複数の試験片の集まりのイメージの形成を含む。この方法はまた、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性および/または測定ツールの特性またはパラメータの間における関係の形成を含む。
この方法は、さらにステップ122に示されているように、試験片上の1ないしは複数の欠陥の修復を含む。1ないしは複数の欠陥には、修復可能と決定された欠陥が含まれているであろう。またこの方法は、欠陥の特性および/または位置に応答して行う、修復のために使用される修復ツールに結合された機器のパラメータの変更を含む。このようにこの方法は、フィードフォワード制御テクニックを使用する修復ツールの制御を含む。たとえばこの方法は、欠陥の検出のために使用された測定デバイスからの出力を、1ないしは複数の欠陥の修復のために使用される修復ツールへ送ることを含む。この出力は、試験片上に存在する欠陥に応じたものである。この方法はまた、出力を処理して修復ツールに結合された機器のパラメータを決定することを含む。それに加えてこの方法は、概略で機器のパラメータが1ないしは複数の欠陥の修復に先行して、またはその間に決定されたパラメータとなるように機器のパラメータを変更することを含む。このようにこの方法は、閉ループ修復および/または除去を含む。修復ツールは、ここに述べているように構成されている。1ないしは複数の欠陥の修復は、試験片上の欠陥の検出の間に行うことができる。1ないしは複数の欠陥の修復は、化学補助レーザ除去、レーザ誘導衝撃波除去および/または粒子ビーム補助修復を用いて行う1ないしは複数の欠陥の除去を含む。
一実施形態においては、ステップ124に示されているように、本方法は、修復後に試験片上に残存している欠陥を検出するための試験片の検査を含む。試験片の検査は、これに述べているように行うことができる。試験片の検査は、試験片の修復に続いて、あるいは試験片の修復の間に行うことができる。試験片の検査は、測定デバイスが欠陥の存在を決定した位置に対応する試験片上の位置の検査を含む。たとえばこの方法は、フィードフォワード制御テクニック、試験片上の欠陥を検出するために使用された測定デバイスからの出力を使用する検査ツールの制御を含む。また試験片の検査は、試験片、複数の試験片、その欠陥の特性、個別の欠陥の特性および/または複数の試験片の集まりのイメージの形成を含む。この方法はまた、これらのイメージとプロセス・ツール、プロセス・ツールのパラメータまたは特性および/または測定ツールの特性またはパラメータの間における関係の形成を含む。
さらにこの方法は、試験片の検査に応答して行う、修復のために使用された修復ツールに結合されている機器のパラメータの変更を含む。たとえばこの方法は、試験片の検査に応答して行う、フィードバック制御テクニック、フィードフォワード制御テクニックおよび/または現場制御テクニックを使用する修復ツールの制御を含む。修復ツールは、これに述べているように構成されている。
この方法はさらに、試験片上の検出済みの欠陥に応答した、プロセスのために使用されたプロセス・チャンバに結合されている機器のパラメータの変更を含む。たとえばこの方法は、試験片における欠陥の検出に応答して行う、フィードバック制御テクニック、フィードフォワード制御テクニックおよび/または現場制御テクニックを使用するプロセス・チャンバの制御を含む。このように、この方法を閉ループ欠陥低減のために使用することができる。この種の閉ループ欠陥低減は、前述したように試験片上にランダムに形成される欠陥の低減に使用することができる。プロセス・チャンバは、ここに述べているように構成されている。
ステップ126に示されているように、この方法は、ステップ116において使用されるプロセスに伴う問題の有無の決定を含む。たとえばこの方法は、測定デバイスおよび/または検査デバイスからのデータを処理し、試験片上に形成されている欠陥が、個別のプロセス・マージナリティに起因している否か、あるいは複数のプロセスの相互作用によるか否かという決定を含む。一実施形態においては、個別のプロセス・マージナリティによって欠陥が生じることがあり、また修復が行われている試験片に先行するかそれに続いて加工が行われる別の試験片上にも欠陥が検出される場合には、複数のプロセスの相互作用によって欠陥が生じることがある。それに代えてこの方法は、欠陥に関する特性、位置、数、タイプおよび/またはそのほかのデータを比較して行う、それらの特性、位置、数、タイプおよび/またはそのほかのデータが予め決定済みの範囲の外になるか否かという決定を含む。
ステップ126において、プロセスに伴う問題があると決定された場合に、この方法は、ステップ130に示されているように、試験片を加工するように構成されたプロセス・ツールのパラメータの変更を含む。ステップ126において、プロセスに伴う問題があると決定されなかった場合に、この方法は、ステップ128に示されているように、現在のパラメータを用いてプロセス・ツール内の追加の試験片の加工を継続することを含む。またこの方法は、ここに述べられている任意の追加のステップを含む。
この方法は、修復後の試験片上に残存している欠陥に応答して試験片の加工のためにプロセス・チャンバに結合された機器のパラメータを変更することを含む。たとえばこの方法は、試験片の検査に応答して行う、フィードバック制御テクニックまたはフィードフォワード制御テクニックを使用したプロセス・チャンバの制御を含む。プロセス・チャンバは、ここに述べているように構成されている。またこの方法は、測定デバイスを用いた、試験片の処理の間における試験片の特性の決定を含む。この測定デバイスは、ここに述べているように構成されている。
図12は、試験片上の欠陥を修復するための方法の代替実施形態を示している。この方法は、ステップ132に示されているように、試験片上に存在する欠陥の検出を含む。またこの方法は、ステップ134に示されているように、試験片上に存在する1ないしは複数の欠陥の修復を含む。それに加えてこの方法は、ステップ136に示されているように、修復後に続いて試験片上に残存している欠陥を検出するための試験片の検査を含む。さらにこの方法は、これに述べている任意のステップを含む。
当業者であれば、この説明を考慮して本発明の各種側面をさらに修正すること、代替実施形態が明らかになることがある。たとえば、試験片上の欠陥を修復し、かつ追加の試験片上の欠陥の発生を抑えるように構成することのできるシステムが提供される。したがってこの説明は、例示のみと解釈されるべきであり、かつ本発明を実施する一般的な方法を当業者に教示することを目的としていると解釈されるべきである。またここで理解される必要があるが、ここに示し説明した本発明の形式は、現在のところ好ましい実施形態であると解釈されるべきである。ここに示し説明したエレメントおよび材料が代用されること、部品およびプロセスが逆転されること、本発明の特定の特徴が独立に使用されることがあり得ることは、本発明のこの説明の恩典を有した後の当業者にとってはすべて明らかであろう。付随する特許請求の範囲に記述されている本発明の精神と範囲から逸脱することなしにこれに述べているエレメントを変更することができる。
試験片上の欠陥を修復するように構成されたシステムの実施形態を示した概略の側面図である。 試験片上の欠陥を修復するように構成されたシステムの実施形態を示した概略の断面図である。 試験片上の欠陥を修復するように構成されたシステムの各種実施形態を示した概略のブロック図である。 試験片上の欠陥を修復するように構成されたシステムの各種実施形態を示した概略のブロック図である。 プロセス・チャンバに結合される測定デバイスの各種実施形態を示した概略の断面図である。 プロセス・チャンバに結合される測定デバイスの各種実施形態を示した概略の断面図である。 プロセス・チャンバに結合される測定デバイスの各種実施形態を示した概略の断面図である。 ロボット・ウエファ・ハンドラに結合される測定デバイスの実施形態を示した概略の側面図である。 試験片上の欠陥を修復するように構成されたシステムの実施形態を示した概略の平面図である。 試験片上の欠陥を修復するように構成されたシステムの実施形態を示した概略の断面図である。 試験片上の欠陥を修復するための方法の各種実施形態を示したフローチャートである。 試験片上の欠陥を修復するための方法の各種実施形態を示したフローチャートである。

Claims (20)

  1. 試験片上の欠陥を修復する方法であって:
    前記試験片処理すること;
    前記試験片上に存在する欠陥検出すること;
    前記欠陥が修復可能か否かを決定すること;
    前記試験片上の前記欠陥にして、修復可能と決定された1ないしは複数の欠陥の修復をするこ
    前記修復の後で、当該試験片の検査で当該試験片の上に残存している残存欠陥の検出を行う、当該試験片の検査をすること;
    前記残存欠陥に応答して、前記処理に使用されるプロセス・チャンバに結合された機器のパラメータの変更を行うこと
    を含む、欠陥を修復する方法。
  2. 前記欠陥の検出は、前記試験片上の前記欠陥の特性を決定することまれており、前記方法が、記修復に使用される修復ツールに結合された機器のパラメータを前記特性に応答して変更することを含む請求項1に記載の方法。
  3. 前記欠陥の検出は、前記試験片上の前記欠陥の位置を決定することまれており、前記方法が、さらに、記修復に使用される修復ツールに結合された機器のパラメータを前記欠陥の位置に応答して変更することを含む請求項1に記載の方法。
  4. さらに、前記欠陥の検出に使用された測定デバイスからの出力を前記修復に使用される修復ツールへ送ることを含み、前記出力は、前記試験片上に存在する前記欠陥に応じたものであり、さらに前記出力を処理して前記修復に使用される前記修復ツールに結合された機器のパラメータを決定することを含む請求項1に記載の方法。
  5. 前記欠陥の検出は、前記試験片のイメージの形成まれる請求項1に記載の方法。
  6. 前記修復は、前記欠陥の検出の間に行われる請求項1に記載の方法。
  7. 前記修復は、前記1ないしは複数の欠陥を化学補助レーザ除去を用いて除去することを含む請求項1に記載の方法。
  8. 前記修復は、前記1ないしは複数の欠陥をレーザ誘導衝撃波除去を用いて除去することを含む請求項1に記載の方法。
  9. 前記修復は、粒子ビーム補助修復を含む請求項1に記載の方法。
  10. さらに、前記欠陥の検出に使用された測定デバイスを用いて前記処理の間に前記試験片の特性を決定することを含む請求項1に記載の方法。
  11. 前記処理は、前記試験片上における材料の層の形成、前記試験片上におけるレジストのパターン形成、前記試験片のエッチング、前記試験片の研磨、前記試験片のクリーニングからなるグループから選択される、請求項1に記載の方法。
  12. 試験片上の欠陥を修復するように構成されたシステムであって:
    前記試験片処理するように構成されたプロセス・チャンバ;
    前記プロセス・チャンバによる処理の後で前記試験片上に存在する欠陥を検出するように構成された測定デバイス;
    前記試験片で検出された前記欠陥の1ないしは複数に対して修復するように構成された修復ツール;
    前記修復の後で前期試験片上に残存する欠陥を検出すように構成された検査ツール
    前記プロセス・チャンバ,前記測定デバイス前記修復ツールおよび前記検査ツールに結合されたプロセッサであっ前記試験片で検出された前記欠陥に応答する、前記測定デバイスから出力を受けて、当該欠陥が修復可能か否かを決定するよう構成され、且つ、前記修復の後に前記試験片上に残存している残存欠陥に応答する、前記検査ツールからの出力を受けて前記処理に使用されるプロセス・チャンバに結合された機器のパラメータを変更するように構成されているプロセッサ
    を備えて成るシステム。
  13. 前記プロセッサは、前記検出された欠陥の特性を前記測定デバイスの出力から決定するように構成されており、かつ前記検出された欠陥の前記特性に応答して前記修復ツールに結合された前記機器の前記パラメータを変更するように構成されている請求項12に記載のシステム。
  14. 前記プロセッサは、前記検出された試験片上の欠陥の位置を前記測定デバイスの出力から決定するように構成されており、かつ前記検出された欠陥の前記位置に応答して前記修復ツールに結合された前記機器の前記パラメータを変更するように構成されている請求項12に記載のシステム。
  15. 前記プロセッサは、前記1ないしは複数の欠陥、修復可能と決定され欠陥まれるように前記修復ツールに結合された機器のパラメータを変更するように構成されている請求項12、13又は14に記載のシステム。
  16. 前記測定デバイスは、前記試験片のイメージを形成するように構成されている請求項12、13又は14に記載のシステム。
  17. 前記修復ツールは、前記測定デバイスによる前記欠陥の検出の間に前記試験片で検出された1ないしは複数の欠陥を修復するように構成されている請求項12、13又は14に記載のシステム。
  18. 前記修復ツールが化学補助レーザ除去ツールを備える請求項12、13又は14に記載のシステム。
  19. 前記修復ツールがレーザ誘導衝撃波除去ツールを備える請求項12、13又は14に記載のシステム。
  20. 前記修復ツールが粒子ビームを用いた修復ツールを備える請求項12、13又は14に記載のシステム。
JP2003562995A 2002-01-16 2003-01-16 欠陥を修復する方法およびシステム Expired - Fee Related JP4440647B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34932302P 2002-01-16 2002-01-16
PCT/US2003/001419 WO2003063233A2 (en) 2002-01-16 2003-01-16 Systems and methods for closed loop defect reduction

Publications (2)

Publication Number Publication Date
JP2005516196A JP2005516196A (ja) 2005-06-02
JP4440647B2 true JP4440647B2 (ja) 2010-03-24

Family

ID=27613266

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003562995A Expired - Fee Related JP4440647B2 (ja) 2002-01-16 2003-01-16 欠陥を修復する方法およびシステム

Country Status (3)

Country Link
US (1) US7236847B2 (ja)
JP (1) JP4440647B2 (ja)
WO (1) WO2003063233A2 (ja)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020190207A1 (en) * 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
WO2002067481A1 (en) * 2001-02-20 2002-08-29 University Of Maryland, Baltimore County Widely tunable and integrated optical system and method
US7968354B1 (en) 2002-10-04 2011-06-28 Kla-Tencor Technologies Corp. Methods for correlating backside and frontside defects detected on a specimen and classification of backside defects
US7047095B2 (en) * 2002-12-06 2006-05-16 Tokyo Electron Limited Process control system and process control method
US7103482B2 (en) * 2003-02-03 2006-09-05 Qcept Technologies, Inc. Inspection system and apparatus
US6957154B2 (en) * 2003-02-03 2005-10-18 Qcept Technologies, Inc. Semiconductor wafer inspection system
US7107158B2 (en) * 2003-02-03 2006-09-12 Qcept Technologies, Inc. Inspection system and apparatus
US7308367B2 (en) * 2003-02-03 2007-12-11 Qcept Technologies, Inc. Wafer inspection system
US7152476B2 (en) * 2003-07-25 2006-12-26 Qcept Technologies, Inc. Measurement of motions of rotating shafts using non-vibrating contact potential difference sensor
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP2005286161A (ja) * 2004-03-30 2005-10-13 Ebara Corp 形状修復方法及び装置、並びにそれらを用いた半導体デバイス製造方法
US20050255611A1 (en) * 2004-05-14 2005-11-17 Patterson Oliver D Defect identification system and method for repairing killer defects in semiconductor devices
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US20060129265A1 (en) * 2004-12-11 2006-06-15 Ouchi Norman K Directed defective item repair system and methods
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US8290239B2 (en) * 2005-10-21 2012-10-16 Orbotech Ltd. Automatic repair of electric circuits
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
JP2007294763A (ja) * 2006-04-26 2007-11-08 Toshiba Corp 半導体装置の製造方法及び製造システム
US7875851B1 (en) * 2006-05-01 2011-01-25 Advanced Micro Devices, Inc. Advanced process control framework using two-dimensional image analysis
WO2008077100A2 (en) * 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7659734B2 (en) * 2007-03-07 2010-02-09 Qcept Technologies, Inc. Semiconductor inspection system and apparatus utilizing a non-vibrating contact potential difference sensor and controlled illumination
KR100863140B1 (ko) * 2007-04-25 2008-10-14 에스엔유 프리시젼 주식회사 반도체 웨이퍼의 이물 검사 및 리페어 시스템과 그 방법
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
TWI469235B (zh) 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
JP5396703B2 (ja) * 2007-10-09 2014-01-22 富士通セミコンダクター株式会社 熱処理装置及び方法、並びに半導体装置の製造方法
US7900526B2 (en) 2007-11-30 2011-03-08 Qcept Technologies, Inc. Defect classification utilizing data from a non-vibrating contact potential difference sensor
US20100074515A1 (en) * 2008-02-05 2010-03-25 Kla-Tencor Corporation Defect Detection and Response
US7989729B1 (en) * 2008-03-11 2011-08-02 Kla-Tencor Corporation Detecting and repairing defects of photovoltaic devices
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7752000B2 (en) * 2008-05-02 2010-07-06 Qcept Technologies, Inc. Calibration of non-vibrating contact potential difference measurements to detect surface variations that are perpendicular to the direction of sensor motion
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
CN101936916A (zh) * 2009-07-02 2011-01-05 法国圣-戈班玻璃公司 检测分离的低刚度的透明或半透明体的缺陷的设备和方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8211717B1 (en) * 2011-01-26 2012-07-03 International Business Machines Corporation SEM repair for sub-optimal features
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US9059097B2 (en) * 2012-08-09 2015-06-16 International Business Machines Corporation Inhibiting propagation of imperfections in semiconductor devices
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
JP6152281B2 (ja) 2013-02-25 2017-06-21 株式会社ニューフレアテクノロジー パターン検査方法及びパターン検査装置
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
KR20150020757A (ko) * 2013-08-19 2015-02-27 삼성전자주식회사 기판 처리 시스템 및 이의 제어 방법
WO2016003575A2 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
JP2017032457A (ja) * 2015-08-04 2017-02-09 株式会社ニューフレアテクノロジー パターン検査装置
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
US10707138B1 (en) * 2017-03-29 2020-07-07 Xilinx, Inc. High yield package assembly technique
US10811290B2 (en) * 2018-05-23 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for inspection stations
CN112997156A (zh) * 2018-10-22 2021-06-18 西门子工业软件有限公司 用于电子设计自动化操作的计算资源的动态分配
CN110082974B (zh) * 2019-04-08 2021-11-02 Tcl华星光电技术有限公司 阵列基板修补系统及其方法
US20240096664A1 (en) * 2022-09-15 2024-03-21 Applied Materials, Inc. On-board cleaning of tooling parts in hybrid bonding tool

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4601576A (en) 1983-12-09 1986-07-22 Tencor Instruments Light collector for optical contaminant and flaw detector
US4556317A (en) 1984-02-22 1985-12-03 Kla Instruments Corporation X-Y Stage for a patterned wafer automatic inspection system
US4644172A (en) 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
US4618938A (en) 1984-02-22 1986-10-21 Kla Instruments Corporation Method and apparatus for automatic wafer inspection
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
DE3626673A1 (de) * 1986-08-07 1988-02-11 Bayer Ag Trennmittelkomposition, diese trennmittelkomposition enthaltende gemische, ein verfahren zur herstellung von elastischen formkoerpern und die nach diesem verfahren erhaltenen formkoerper
US4928010A (en) 1986-11-28 1990-05-22 Nippon Telegraph And Telephone Corp. Observing a surface using a charged particle beam
US4898471A (en) 1987-06-18 1990-02-06 Tencor Instruments Particle detection on patterned wafers and the like
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
US5023424A (en) 1990-01-22 1991-06-11 Tencor Instruments Shock wave particle removal method and apparatus
US5076692A (en) 1990-05-31 1991-12-31 Tencor Instruments Particle detection on a patterned or bare wafer surface
IL99823A0 (en) 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
JP2777840B2 (ja) 1990-11-30 1998-07-23 セイコーインスツルメンツ株式会社 電子線装置
US5293216A (en) 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
JP3148353B2 (ja) 1991-05-30 2001-03-19 ケーエルエー・インストルメンツ・コーポレーション 電子ビーム検査方法とそのシステム
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
DE69208413T2 (de) 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5264912A (en) 1992-02-07 1993-11-23 Tencor Instruments Speckle reduction track filter apparatus for optical inspection of patterned substrates
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
US5665968A (en) 1992-05-27 1997-09-09 Kla Instruments Corporation Inspecting optical masks with electron beam microscopy
US5355212A (en) 1993-07-19 1994-10-11 Tencor Instruments Process for inspecting patterned wafers
US5537669A (en) 1993-09-30 1996-07-16 Kla Instruments Corporation Inspection method and apparatus for the inspection of either random or repeating patterns
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5864394A (en) 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US5565979A (en) 1994-11-04 1996-10-15 Tencor Instruments Surface scanning apparatus and method using crossed-cylinder optical elements
US5633747A (en) 1994-12-21 1997-05-27 Tencor Instruments Variable spot-size scanning apparatus
US5604585A (en) 1995-03-31 1997-02-18 Tencor Instruments Particle detection system employing a subsystem for collecting scattered light from the particles
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
WO1996039619A1 (en) 1995-06-06 1996-12-12 Kla Instruments Corporation Optical inspection of a specimen using multi-channel responses from the specimen
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
DE69638126D1 (de) 1995-10-19 2010-04-01 Hitachi Ltd Rasterelektronenmikroskop
US5798829A (en) 1996-03-05 1998-08-25 Kla-Tencor Corporation Single laser bright field and dark field system for detecting anomalies of a sample
EP1909318A3 (en) * 1996-03-19 2009-12-09 Hitachi, Ltd. Process management system
US5975740A (en) 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
WO1997046865A1 (en) 1996-06-04 1997-12-11 Tencor Instruments Optical scanning system for surface inspection
US6064517A (en) 1996-07-22 2000-05-16 Kla-Tencor Corporation High NA system for multiple mode imaging
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5917588A (en) 1996-11-04 1999-06-29 Kla-Tencor Corporation Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination
US5869833A (en) 1997-01-16 1999-02-09 Kla-Tencor Corporation Electron beam dose control for scanning electron microscopy and critical dimension measurement instruments
WO1998054632A2 (en) 1997-05-29 1998-12-03 Castrucci Paul P Semiconductor wafer processing with defect eradication
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
JP2985838B2 (ja) 1997-07-18 1999-12-06 日本電気株式会社 薄膜トランジスタアレイ基板の製造方法
US5973323A (en) 1997-11-05 1999-10-26 Kla-Tencor Corporation Apparatus and method for secondary electron emission microscope
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6020957A (en) 1998-04-30 2000-02-01 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6194718B1 (en) 1998-09-23 2001-02-27 Applied Materials, Inc. Method for reducing aliasing effects in scanning beam microscopy
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6587193B1 (en) 1999-05-11 2003-07-01 Applied Materials, Inc. Inspection systems performing two-dimensional imaging with line light spot
JP2002544555A (ja) 1999-05-18 2002-12-24 アプライド マテリアルズ インコーポレイテッド マスターとの比較による物品の検査方法および装置
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
WO2001003145A1 (en) 1999-07-02 2001-01-11 Applied Materials, Inc. Apparatus and method for examining specimen with a charged particle beam
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
WO2001013098A1 (en) 1999-08-16 2001-02-22 Applied Materials, Inc. Variable angle illumination wafer inspection system
US6545275B1 (en) 1999-09-03 2003-04-08 Applied Materials, Inc. Beam evaluation
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US6242273B1 (en) 1999-09-29 2001-06-05 Advanced Micro Devices, Inc. Fractal filter applied to a contamination-free manufacturing signal to improve signal-to-noise ratios
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
JP5108193B2 (ja) 2000-04-18 2012-12-26 ケーエルエー−テンカー コーポレイション 改良された試験構造の検査方法
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US20020190207A1 (en) * 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6949177B2 (en) * 2001-08-16 2005-09-27 Oriol Inc. System and method for processing semiconductor wafers using different wafer processes
US20040101981A1 (en) * 2001-09-10 2004-05-27 Masahiko Morishita Apparatus for repairing defect of substrate
JP3870052B2 (ja) * 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法

Also Published As

Publication number Publication date
WO2003063233A2 (en) 2003-07-31
US20030139838A1 (en) 2003-07-24
WO2003063233A3 (en) 2004-03-25
JP2005516196A (ja) 2005-06-02
US7236847B2 (en) 2007-06-26

Similar Documents

Publication Publication Date Title
JP4440647B2 (ja) 欠陥を修復する方法およびシステム
JP5416329B2 (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
Quirk et al. Semiconductor manufacturing technology
US9768082B2 (en) Method and machine for examining wafers
JP2005286161A (ja) 形状修復方法及び装置、並びにそれらを用いた半導体デバイス製造方法
Guldi In-line defect reduction from a historical perspective and its implications for future integrated circuit manufacturing
US10871454B2 (en) Inspection method and apparatus
Kadaksham et al. Current status of EUV mask blanks and LTEM substrates defectivity and cleaning of blanks exposed in EUV ADT
Walton et al. Extreme ultraviolet lithography: reflective mask technology
US20120154771A1 (en) Immersion multiple-exposure method and immersion exposure system for separately performing multiple exposure of micropatterns and non-micropatterns
Rastegar et al. Cleaning challenges of EUV mask substrates, blanks, and patterned mask
Hattori Particle reduction in VLSI manufacturing
Casper et al. Semiconductor Yield Enhancement through Particle Control
US7078689B1 (en) Integrated electron beam and contaminant removal system
US20090206255A1 (en) Substrate inspection device and substrate inspection method
Burkhart et al. Low-defect reflective mask blanks for extreme ultraviolet lithography
Leavey et al. Mask and wafer inspection and cleaning for proximity x-ray lithography
JP7254091B2 (ja) 検査システム、リソグラフィ装置、及び検査方法
Barna et al. MMST manufacturing technology-hardware, sensors, and processes
Halder et al. Use of surface haze for evaluation of photoresist residue removal efficiency
Katakura et al. EPL reticle technology
Berger et al. DualBeam metrology: a new technique for optimizing 0.13-um photo processes
Fisch et al. EUVL mask fabrication for the 45-nm node
Eichenlaub et al. Cleaning of low thermal expansion material substrates for mask blanks in EUV lithography
Kim Trouble management in DRAM fabrication

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090309

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090316

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090608

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100107

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130115

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4440647

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130115

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees