JP4272189B2 - 位置測定装置、描画装置及び位置測定方法 - Google Patents

位置測定装置、描画装置及び位置測定方法 Download PDF

Info

Publication number
JP4272189B2
JP4272189B2 JP2005218227A JP2005218227A JP4272189B2 JP 4272189 B2 JP4272189 B2 JP 4272189B2 JP 2005218227 A JP2005218227 A JP 2005218227A JP 2005218227 A JP2005218227 A JP 2005218227A JP 4272189 B2 JP4272189 B2 JP 4272189B2
Authority
JP
Japan
Prior art keywords
filter
pass filter
measurement
low
measurement value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005218227A
Other languages
English (en)
Other versions
JP2007033282A (ja
Inventor
雄一 立川
和道 安井
潔 中曽
清司 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2005218227A priority Critical patent/JP4272189B2/ja
Priority to TW095123979A priority patent/TWI313486B/zh
Priority to US11/492,843 priority patent/US7640142B2/en
Priority to KR1020060070497A priority patent/KR100755169B1/ko
Publication of JP2007033282A publication Critical patent/JP2007033282A/ja
Application granted granted Critical
Publication of JP4272189B2 publication Critical patent/JP4272189B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、位置測定装置、描画装置及び位置測定方法に関し、特に、レーザ測長によるステージの位置測定手法に関する。
近年、LSIの高集積化に伴い、半導体装置に要求される回路線幅は年々微細化されてきている。これらの半導体装置へ所望の回路パターンを形成するためには、従来所望の回路パターンが形成された数十種類の原画パターン(レチクル或いはマスクともいう。)をステージ上に搭載されたウェハの露光領域に高精度に位置合わせし、その後、光源からレーザ光等を照射することで、マスクに形成された所望の回路パターンがかかるウェハ上の露光領域に転写される。例えば、縮小投影露光装置が用いられる。かかる原画パターンは、高精度に仕上げられたガラス基板上に描かれ、レジストプロセス等を経て形成される。一般的には、片面にクロム(Cr)を蒸着したガラス基板上にレジスト材を均一に塗布したものに、電子線やレーザ等を光源としたエネルギービームを用いて所望の場所のレジスト材を感光させる。そして、現像後、Crをエッチングすることでパターンを形成することができる。
従来、半導体デバイスの生産では、上述したようにレーザ等の光露光技術が用いられてきたが、近年、半導体デバイスの微細化に伴って、原画パターンにおいても優れた解像成を有する電子線(電子ビーム)露光技術が生産に用いられている。
図29は、可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線描画装置(EB(Electron beam)描画装置)における第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形用開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形用開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形用開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形用開口421との両方を通過させ、任意形状を作成する方式を可変成形方式という(例えば、特許文献1参照)。
以上のようなレーザ光等を照射する光露光装置や電子線を照射する電子線露光装置のいずれの装置においても、ステージの高精度な位置合わせが必要となる。一般に、ステージの位置は、レーザ干渉計を用いたレーザ測長システムにより測定される。しかしながら、レーザ干渉計を用いたレーザ測長システムによりステージの位置を測定する場合には、測定された位置データに非線形誤差を含んでしまう。パターンの微細化に伴い、かかる非線形誤差が描画精度に影響するようになってきた。
ここで、レーザ測長システムによる非線形誤差を補正する技術ではないが、ウェハのゆがみやアライメントマークの崩れによる非線形誤差を補正する技術が文献に開示されている(例えば、特許文献2,3参照)。また、制御対象機械を目標位置に移動させる機械装置において送りねじのバックラッシュまたはカップリングのねじれによる誤差要素をローパスフィルタやハイパスフィルタにて補正する技術が文献に開示されている(例えば、特許文献4参照)。その他、ローパスフィルタやハイパスフィルタに関連した技術が文献に開示されている(例えば、特許文献5,6参照)。
特開2000−58424号公報 特開平6−291021号公報 特開平6−349707号公報 特開2004−171333号公報 特開平8−285538号公報 特開平3−152770号公報
上述したように、レーザ光等を照射する光露光装置や電子線を照射する電子線露光装置のいずれの装置においても、ステージの高精度な位置合わせが必要となる。しかしながら、ステージの位置を測定するレーザ干渉計を用いたレーザ測長システムの測定結果には、上述したように非線形誤差を含んでしまうといった問題があった。
理想的には測定器から被測定物までの距離とその位置データは完全なリニアリティ(線形)の関係になるはずであるが、レーザ測長の場合、光学的なパス(光路)の問題で誤差を含んでしまう。具体的には、レーザ光中に混在している垂直波と水平波とが互いに干渉してしまい非線形誤差を生じさせる。すなわち、レーザ測長システム中のミラー等の反射により垂直波には水平波の成分が、水平波には垂直波の成分が干渉して非線形誤差を発生させてしまう。パターンの微細化に伴い、かかる非線形誤差が描画精度に影響するようになってきており、かかる非線形誤差の影響により正確なステージ位置が測定できず、所望する位置から外れた位置にパターンを描画してしまうといった問題が生じてしまう。
また、かかる非線形誤差成分をローパスフィルタによって除去しようとした場合、フィルタの特性によりフィルタ起因の位置ずれを生じてしまう。特に、速度が変化するステージの場合には、速度成分だけでなく、加速度成分、或いは、加加速度成分、或いは、さらに高次の成分の位置ずれが発生してしまうという問題点がある。
本発明は、かかる問題点を克服し、非線形誤差を補正して高精度な位置を測定する手法を提供することを目的とする。
本発明の一態様の位置測定装置は、
移動可能なステージと、
レーザを用いて、前記ステージの移動位置を測定する測定部と、
前記測定部の測定値から所定の周波数領域の成分を減衰させる第1のフィルタと、
前記第1のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第2のフィルタと、
前記第2のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第3のフィルタと、
前記第2のフィルタと直列に前記第3のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第4のフィルタと、
前記第4のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第5のフィルタと、
前記第1のフィルタを通過した前記測定部の測定値と、前記第2と第3のフィルタを通過した前記測定部の測定値と、前記第2と第4と第5のフィルタを通過した前記測定部の測定値とを合成し、合成された合成値を出力する合成部と、
を備えたことを特徴とする。
かかる構成により、非線形誤差の領域に所定の周波数領域を設定することで、前記第1のフィルタを通過した前記測定部の測定値に、前記第2と第3のフィルタを通過した前記測定部の測定値を合成することで、非線形誤差成分を除去し、かつ、速度比例成分の位置ずれを補正することができる。さらに、速度変化するステージの場合には、速度比例成分の他に、加速度比例成分の位置ずれも生じることになるが、ここで、前記第2と第4と第5のフィルタを通過した前記測定部の測定値を合成することで、後述するように、さらに、加速度比例成分の位置ずれを補正することができる。
そして、本発明における前記第1と第3のフィルタとして、ローパスフィルタを用い、
前記第2のフィルタとして、ハイパスフィルタを用い、
前記第4のフィルタとして、ハイパスフィルタとローパスフィルタとのうち、いずれか一方を用い、
前記第5のフィルタとして、前記ローパスフィルタとハイパスフィルタとのうち、前記第4のフィルタとは逆の一方を用いることを特徴とする。
通常、前記非線形誤差成分は、ステージの移動速度に対応する周波数領域よりも高い周波数となる。そこで、第1のフィルタとしてローパスフィルタを用いることによりかかる非線形誤差成分を除去することができる。ここで、後述するように、第1のフィルタとしてローパスフィルタを通すだけでは、フィルタの特性に起因する、速度比例の位置ずれが残ってしまう。そこで、さらに、第2のフィルタとしてのハイパスフィルタと第3のフィルタとしてのローパスフィルタにより速度比例成分の位置ずれを除去することができる。しかし、速度変化するステージの移動では、まだ、第2のフィルタとしてのハイパスフィルタと第3のフィルタとしてのローパスフィルタを通しても、加速度比例成分の位置ずれが残ってしまう。そこで、さらに、第4或いは第5のフィルタとしてのハイパスフィルタと第5或いは第4のフィルタとしてのローパスフィルタにより加速度比例成分の位置ずれを除去することができる。
そして、本発明における前記位置測定装置は、さらに、
前記第4のフィルタと直列に前記第5のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第6のフィルタと、
前記第6のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第7のフィルタと、
を備え、
前記合成部は、さらに、前記第2と第4と第6と第7のフィルタを通過した前記測定部の測定値を合成し、合成された合成値を出力することを特徴とする。
速度変化するステージの場合には、ローパスフィルタによる非線形誤差成分の除去を行うと、速度比例成分、加速度比例成分の他に、加加速度比例成分の位置ずれも生じる場合があるが、さらに、前記第2と第4と第6と第7のフィルタを通過した前記測定部の測定値を合成することで、加加速度比例の位置ずれを除去することができる。
また、本発明の一態様の描画装置は、
試料を載置し、前記試料を載置した状態で移動するステージと、
レーザを用いて、前記ステージの移動位置を測定する測定部と、
所定のカットオフ周波数に設定した状態で前記測定部の測定値を通過させるローパスフィルタと、
前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記測定部の測定値を通過させる1組目のローパスフィルタとハイパスフィルタと、
前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記1組目のローパスフィルタとハイパスフィルタとのうちハイパスフィルタを通過し、前記1組目のローパスフィルタとハイパスフィルタとのうちのローパスフィルタを通過する前の測定値を通過させる2組目のローパスフィルタとハイパスフィルタと、
前記ローパスフィルタを通過した前記測定部の測定値と、前記1組目のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値と、前記2組目のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記試料の所望する位置に所定のパターンを描画する描画部と、
を備えたことを特徴とする。
上述したように、かかる構成により速度比例および加速度比例の位置ずれを補正し、非線形誤差成分を除去することができる。よって、描画部が、速度比例および加速度比例の位置ずれを生じさせずに非線形誤差成分が除去された前記ステージの移動位置に基づいて前記試料の所望する位置に所定のパターンを描画することができる。
また、上述した各装置の位置測定手法を方法で表すと、本発明の一態様の位置測定方法は、
レーザを用いて、ステージの移動位置を測定する測定工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記測定工程により測定された測定値から非線形誤差成分を除去する非線形誤差成分除去工程と、
前記所定のカットオフ周波数に設定された1組目のローパスフィルタとハイパスフィルタとを用いて、前記測定工程により測定された測定値に対する速度比例の位置ずれの発生を補正する速度比例位置ずれ補正工程と、
前記所定のカットオフ周波数に設定された2組目のローパスフィルタとハイパスフィルタに、前記1組目のローパスフィルタとハイパスフィルタとのうちのハイパスフィルタを通過し、前記1組目のローパスフィルタとハイパスフィルタとのうちのローパスフィルタを通過する前の測定値を通過させることによって、前記測定値に対する加速度比例の位置ずれの発生を補正する加速度比例位置ずれ補正工程と、
を備えたことを特徴とする。
本発明によれば、速度比例と加速度比例、さらに、場合により加加速度比例の位置ずれを補正し、非線形誤差成分を除去することができるので、より高精度な位置を測定することができる。より高精度な位置を測定することができるので、高精度な描画を行なうことができる。
実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。
図1において、描画装置100は、描画部の一例となる電子鏡筒102、描画演算回路111、描画室103と、XYステージ105、駆動部106、測定部の一例となるレーザ干渉計300、位置演算部109、フィルタ部110を備えている。電子鏡筒102内には、電子銃201、照明レンズ202、第1のアパーチャ203、投影レンズ204、偏向器205、第2のアパーチャ206、対物レンズ207、偏向器208を有している。レーザ干渉計300は、レーザ光源となるレーザヘッド107、ミラー104、光学系112、受光部108を有している。
電子銃201から出た電子線200は、照明レンズ202により矩形例えば長方形の穴を持つ第1のアパーチャ203全体を照明する。ここで、電子線200をまず矩形例えば長方形に成形する。そして、第1のアパーチャ203を通過した第1のアパーチャ像の電子線200は、投影レンズ204により第2のアパーチャ206上に投影される。かかる第2のアパーチャ206上での第1のアパーチャ像の位置は、偏向器205によって制御され、ビーム形状と寸法を変化させることができる。そして、第2のアパーチャ206を通過した第2のアパーチャ像の電子線200は、対物レンズ207により焦点を合わせ、偏向器208により偏向されて、描画室103内に移動可能に配置されたXYステージ105上の試料101の所望する位置に照射される。
図2は、ステージ移動の様子を説明するための図である。
試料101に描画する場合には、XYステージ105を駆動部106によりX方向に連続移動させながら、描画(露光)面を電子線200が偏向可能な短冊状の複数のストライプ領域に仮想分割された試料101の1つのストライプ領域上を電子線200が照射する。XYステージ105のX方向の移動は、連続移動とし、同時に電子線200のショット位置もステージ移動に追従させる。連続移動させることで描画時間を短縮させることができる。そして、1つのストライプ領域を描画し終わったら、XYステージ105を駆動部106によりY方向にステップ送りしてX方向(今度は逆向き)に次のストライプ領域の描画動作を行なう。各ストライプ領域の描画動作を蛇行させるように進めることでXYステージ105の移動時間を短縮することができる。
図3は、フィルタ部の内部構成を示すブロック図である。
レーザ測長システムの一例であるレーザ干渉計300にて描画室103内に設置されたXYステージ105の位置を測定する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。そして、所定のカットオフ周波数に設定したローパスフィルタ(以降LPFという)122に前記位置成分のデータを通過させる。一方で、LPF122と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した1組目のハイパスフィルタ(以降HPFという)124とLPF126に、前記位置成分のデータを通過させる。さらに、HPF124と直列に、そしてLPF126と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した2組目のHPF134とLPF136に、HPF124を通過後の前記位置成分のデータを分岐して通過させる。そして、LPF122を通過したデータと1組目のHPF124とLPF126を通過したデータと1組目のHPF124と2組目のHPF134とLPF136を通過したデータとを合成部の一例となる加算器128で加算し合成する。そして、合成された合成値を描画部の描画演算回路111に出力する。
図4は、本実施の形態1における位置測定方法のフローチャートを示す図である。
S(ステップ)502において、測定工程として、上述したように、レーザ干渉計300にて描画室103内に設置された連続移動するXYステージ105の位置を測定する。すなわち、描画室103内に設置されたXYステージ105上に取付けられたミラー104に、レーザ投光部となるレーザヘッド107から光学系112を介してレーザ光を当てて、反射したレーザ光を、光学系112を介して受光部108にて受光する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。ここで、上述したように、かかる位置成分のデータには、非線形誤差成分が内在している。
図5は、速度パターンの一例を示す図である。
ここでは、図5に示すように、所定の一定加速度で加速された後、一定速度で移動し、さらに、前記所定の一定加速度で減速した後、また、反対方向に一定の加速度で加速し、一定速度で移動するといった、いわゆる台形速度パターンを示している。
図6は、変位パターンの一例を示す図である。
上述した図5に示す台形パターンに沿って、XYステージ105を移動させると、図6に示すような変位パターンで推移する。レーザ測長の場合、光学的なパス(光路)の問題で非線形誤差成分を含んでしまう。具体的には、レーザ光中に混在している垂直波と水平波とが互いに干渉してしまい非線形誤差を生じさせる。すなわち、レーザ測長システム中のミラー等の反射により垂直波には水平波の成分が、水平波には垂直波の成分が干渉して非線形誤差を発生させてしまう。かかる非線形誤差成分が変位データにのってしまうこととなる。
S504において、非線形誤差成分除去工程として、前記測定工程により測定された測定値から非線形誤差成分を除去する。通常、かかる非線形誤差成分は、XYステージ105の位置成分に対して高周波であるため、第1のフィルタとしてLPF122を通過させることで、レーザ干渉計300の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させることができる。
図7は、LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図7(a)に示すように、LPF122を所定のカットオフ周波数に設定することで、レーザ干渉計300の測定値から変換された位置成分のデータから、高周波領域の非線形誤差成分を含む周波数領域を減衰させ、実質的に除去することができる。しかし、図7(b)に示すように、LPF122を通過させることで、位置成分のデータに位相遅れが生じてしまう。このままLPF122を通過したデータに基づいてXYステージ105の位置を判断すると、位相遅れによる位置ずれで、後述するビーム照射位置にずれが生じてしまう恐れがある。
図8は、LPF122の伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、図8(a)に示すように、LPF122の伝達関数式は、伝達関数G(s)=1/(1+τ・S)で示すことができる。しかし、レーザ干渉計300の測定値から変換された位置成分のデータをかかるLPF122を通過させただけの場合、図8(b)に示すように、τ・S/(1+τ・S)で示される1次の時間微分項、言い換えれば、速度成分項が残差として残ってしまうことがわかる。
図9は、LPFのみを通過させただけの場合の位置ずれシミュレーション結果を示す図である。
図9に示すように、LPF122を通過させただけの場合、図5に示す台形速度パターンでは、速度比例の位置ずれが発生してしまう。
そこで、かかる速度比例の位置ずれを補正することが望ましい。
S506において、速度比例位置ずれ補正工程として、速度比例の位置ずれを補正する。第1のフィルタとしてLPF122と並列にHPF124とLPF126を通過させることで、速度比例の位置ずれを発生させずに、レーザ干渉計300の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させることができる。
図10は、1組目のHPFとLPFとを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図10(b)に示すように、HPF124を通過させることで、位置成分のデータの位相が速まる。そして、図10(a)に示すように、LPF122が減衰させる周波数領域以外の成分を減衰させておく。さらに、LPF126を通過させることで、LPF122が減衰させる周波数領域の成分と同じ周波数領域の成分を減衰させておく。かかる位相を早め、かつLPF122と同じカットオフ周波数で両側の周波数領域を減衰させた位置成分のデータを形成する。
図11は、LPFを通過後の位置成分のデータと1組のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。
図11(b)に示すように、2つのデータを加算して合成することで、位相遅れを修正し、位相遅れが始まる周波数領域を高周波側にずらすことができる。また、図11(a)に示すように、ゲインの減衰開始位置も若干高周波側にずらすことができる。
必要な周波数領域におけるゲインと位相がずれないようにLPF122とHPF124とLPF126のカットオフ周波数を調整することで、位相ずれを抑えながら非線形誤差成分を除去することができる。しかし、以下に示すような残差がまだ解消していない。
図12は、速度補正付きフィルタの伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、HPF124の伝達関数式は、τ・S/(1+τ・S)で示すことができる。同様に、LPF126の伝達関数式は、1/(1+τ・S)で示すことができる。ここで、LPF122とHPF124とLPF126のカットオフ周波数は、同一のカットオフ周波数が望ましい。ただし、上述したような非線形誤差成分の除去効果が認められる範囲での若干のずれを排除するものではない。同一のカットオフ周波数の場合、τ=τ=τとなる。図8(a)に示した伝達関数式に1次の時間微分項(速度成分項)を補正すると、図12(a)に示すように、速度補正付きフィルタの伝達関数式は、G(s)={1/(1+τ・S)}{1+τ・S/(1+τ・S)}で示すことができる。しかし、レーザ干渉計300の測定値から変換された位置成分のデータをかかる速度補正付きフィルタを通過させただけの場合、図12(b)に示すように、τ・S/(1+τ・S)で示される2次の時間微分項、言い換えれば、加速度成分項が残差として残ってしまうことがわかる。
図13は、速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図13に示すように、LPF122と1組目のHPF124とLPF126とを通過させた場合、図5に示す台形速度パターンでは、加速度比例の位置ずれが発生してしまう。
そこで、かかる加速度成分項を補正することが望ましい。
S508において、加速度比例位置ずれ補正工程として、前記測定工程により測定された測定値から非線形加速度誤差成分を除去する。LPF122とLPF126とに並列にHPF124と直列に、HPF134とLPF136を通過させることで、加速度比例の位置ずれを発生させずに、レーザ干渉計300の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させることができる。
図14は、LPFを通過後の位置成分のデータと1組目のHPFとLPFとを通過後の位置成分のデータと2組目のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。
図14(b)に示すように、3つのデータを加算して合成することで、位相遅れを修正し、位相遅れが始まる周波数領域を高周波側にずらすことができる。また、図14(a)に示すように、ゲインの減衰開始位置もさらに若干高周波側にずらすことができる。
必要な周波数領域におけるゲインと位相がずれないようにLPF122とHPF124とLPF126とHPF134とLPF136のカットオフ周波数を調整することで、さらに位相ずれを抑えながら非線形誤差成分を除去することができる。しかし、以下に示すような残差がまだ解消していない。
図15は、加速度補正付きフィルタの伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、HPF134の伝達関数式は、τ・S/(1+τ・S)で示すことができる。同様に、LPF136の伝達関数式は、1/(1+τ・S)で示すことができる。ここで、LPF122とHPF124とLPF126とHPF134とLPF136のカットオフ周波数は、同一のカットオフ周波数が望ましい。ただし、上述したような非線形誤差成分の除去効果が認められる範囲での若干のずれを排除するものではない。同一のカットオフ周波数の場合、τ=τ=τ=τ=τとなる。図12(a)に示した伝達関数式に2次の時間微分項(加速度成分項)を補正すると、図15(a)に示すように、加速度補正付きフィルタの伝達関数式は、G(s)={1/(1+τ・S)}〔1+τ・S/(1+τ・S){1+τ・S/(1+τ・S)}〕で示すことができる。しかし、レーザ干渉計300の測定値から変換された位置成分のデータをかかる加速度補正付きフィルタを通過させた場合、図15(b)に示すように、τ・S/(1+τ・S)で示される3次の時間微分項、言い換えれば、加加速度成分項が残差として残ってしまうことがわかる。
図16は、加速度誤差成分補正付きフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図16に示すように、LPF122と1組目のHPF124とLPF126と2組目のHPF134とLPF136とを通過させた場合、図5に示す台形速度パターンでは、一定加速度でXYステージ105が移動している場合の位置ずれを補正することができる様子を示している。ただし、スパイクノイズ状の位置ずれは残っていることを示している。
S510において、合成工程として、合成部の一例となる加算器128が、LPF122を通過したレーザ干渉計300の測定値から変換された位置成分のデータと、HPF124とLPF126とを通過したレーザ干渉計300の測定値から変換された位置成分のデータと、HPF124とHPF134とLPF136とを通過したレーザ干渉計300の測定値から変換された位置成分のデータとを加算して合成し、合成された合成値を描画部の描画演算回路111に出力する。
以上のように、LPF122とHPF124とLPF126とHPF134とLPF136とにより構成された加速度補正付きフィルタ部110により、速度比例と加速度比例の位置ずれを補正し、非線形誤差成分が除去された位置成分のデータを描画演算回路111が入力することで、入力された位置成分のデータでXYステージ105の位置を検出することができる。よって、描画演算回路111は、かかる高精度な位置データにより高精度なパターン位置精度を実現することができる。そして、描画演算回路111は、電子線200が偏向されて、描画室103内で連続移動するXYステージ105上の試料101の所望する位置に追従して照射されるように偏向器208を制御する。その結果、描画部により前記試料101の所望する位置に所定のパターンを描画することができる。
実施の形態2.
実施の形態1では、速度変化するXYステージの移動について、一定加速度で変化する場合について説明したが、加速度変化するXYステージの移動も想定するとより望ましい。実施の形態2では、XYステージの移動において加速度が変化する移動パターンの場合における非線形誤差成分の除去手法について説明する。描画装置100の構成は、図1と同様であるので説明を省略する。
図17は、実施の形態2におけるフィルタ部の内部構成を示すブロック図である。
レーザ測長システムの一例であるレーザ干渉計300にて描画室103内に設置されたXYステージ105の位置を測定する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。そして、所定のカットオフ周波数に設定したLPF122に前記位置成分のデータを通過させる。一方で、LPF122と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した1組目のHPF124とLPF126に、前記位置成分のデータを通過させる。さらに、HPF124と直列に、そしてLPF126と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した2組目のHPF134とLPF136に、HPF124を通過後の前記位置成分のデータを分岐して通過させる。そして、実施の形態2では、さらに、HPF134と直列に、そしてLPF136と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した3組目のHPF144とLPF146に、HPF134を通過後の前記位置成分のデータを分岐して通過させる。そして、LPF122を通過したデータと1組目のHPF124とLPF126を通過したデータと1組目のHPF124と2組目のHPF134とLPF136を通過したデータと1組目のLPF124と2組目のHPF134と3組目のHPF144とLPF146を通過したデータとを合成部の一例となる加算器128で加算し合成する。そして、合成された合成値を描画部の描画演算回路111に出力する。
図18は、加速度パターンと速度パターンの一例を示す図である。
図18(a)では、加速度パターンの一例を示し、かかる加速度パターンを時間で積分して図18(b)に示す速度パターンの一例を示している。ここでは、図18(a)と図18(b)に示すように、所定の一定の加加速度で加速された後、さらに、前記所定の一定の加加速度で減速した後、図18(b)に示すように、一定速度で移動する。そして、図18(a)と図18(b)に示すように、前記所定の一定の加加速度で反対方向に加速した後、前記所定の一定の加加速度で減速し、その後、図18(b)に示すように、一定速度で移動する。かかる加速度変更パターンを示している。
図19は、LPFのみを通過させただけの場合の位置ずれシミュレーション結果を示す図である。
図19に示すように、LPF122を通過させただけの場合、図18に示す加速度変更パターンでは、速度比例の位置ずれが発生してしまう。
図20は、速度補正付きフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図20に示すように、LPF122と1組目のHPF124とLPF126とを通過させた場合、図14に示す加速度変更パターンでは、加速度比例の位置ずれが残ってしまう。
図21は、加速度誤差成分補正付きフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図21に示すように、LPF122と1組目のHPF124とLPF126と2組目のHPF134とLPF136とを通過させた場合、図18に示す加速度変更パターンでは、加加速度比例の位置ずれが残る。
図22は、加速度パターンと速度パターンの別の一例を示す図である。
図22(a)では、加速度パターンの一例を示し、かかる加速度パターンを時間で積分して図22(b)に示す速度パターンの一例を示している。ここでは、図22(a)と図22(b)に示すように、所定の一定の加加速度で加速された後、さらに、前記所定の一定の加加速度で減速した後、図22(b)に示すように、一定速度で移動する。そして、図22(a)と図22(b)に示すように、前記所定の一定の加加速度で反対方向に加速した後、前記所定の一定の加加速度で減速し、その後、図22(b)に示すように、一定速度で移動する。かかる加速度変更パターンを示している。
図23は、加速度補正付きフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図23に示すように、LPF122と1組目のHPF124とLPF126と2組目のHPF134とLPF136とを通過させた場合、図22に示す加速度変更パターンでは、加加速度比例の位置ずれが残る。ただし、ここでは、カットオフ周波数を変化させることで、一定加加速度成分の大きさを調整すること、すなわち、小さくすることができることを示している。例えば、カットオフ周波数を100Hzから300Hz側に大きくしていくことで、ここでは、一定加加速度成分の大きさを小さくすることができる一例を示している。しかし、図15(b)において説明したように、加加速度成分項が残差として残ってしまい、かかる加加速度成分項をゼロにすることはできていない。
そこで、かかる加加速度成分項を補正することが望ましい。
図示していない加加速度比例位置ずれ補正工程として、加加速度比例の位置ずれを補正する。LPF122とLPF126とLPF136とに並列にHPF124とHPF134とに直列に、HPF144とLPF146を通過させることで、加加速度比例の位置ずれを補正し、レーザ干渉計300の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させることができる。
図24は、LPFを通過後の位置成分のデータと1組目のHPFとLPFとを通過後の位置成分のデータと2組目のHPFとLPFと3組目のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。
図24(b)に示すように、4つのデータを加算して合成することで、位相遅れを修正し、位相遅れが始まる周波数領域をさらに高周波側にずらすことができる。また、図24(a)に示すように、ゲインの減衰開始位置もさらに若干高周波側にずらすことができる。
必要な周波数領域におけるゲインと位相がずれないようにLPF122とHPF124とLPF126とHPF134とLPF136とHPF144とLPF146のカットオフ周波数を調整することで、さらに位相ずれを抑えながら非線形誤差成分を除去することができる。
図25は、加加速度補正付きフィルタの伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、HPF144の伝達関数式は、τ・S/(1+τ・S)で示すことができる。同様に、LPF146の伝達関数式は、1/(1+τ・S)で示すことができる。ここで、LPF122とHPF124とLPF126とHPF134とLPF136とHPF144とLPF146のカットオフ周波数は、同一のカットオフ周波数が望ましい。ただし、上述したような非線形誤差成分の除去効果が認められる範囲での若干のずれを排除するものではない。同一のカットオフ周波数の場合、τ=τ=τ=τ=τ=τ=τとなる。図15(a)に示した伝達関数式に3次の時間微分項(加加速度成分項)を補正すると、図25(a)に示すように、加加速度補正付きデジタルフィルタの伝達関数式は、G(s)={1/(1+τ・S)}〔1+τ・S/(1+τ・S)〔1+τ・S/(1+τ・S){1+τ・S/(1+τ・S)}〕〕で示すことができる。しかし、レーザ干渉計300の測定値から変換された位置成分のデータをかかる加加速度補正付きデジタルフィルタを通過させた場合、図25(b)に示すように、τ・S/(1+τ・S)で示される4次の時間微分項が残差として残ってしまうことがわかる。
図26は、加加速度補正付きフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。
図26に示すように、LPF122と1組目のHPF124とLPF126と2組目のHPF134とLPF136と3組目のHPF144とLPF146とを通過させた場合、図22に示す加速度変更パターンでは、加加速度比例の位置ずれまでは除去されている。ただし、加加加速度比例の位置ずれは残ってしまう。
以上のように、LPF122とHPF124とLPF126とHPF134とLPF136とHPF144とLPF146とにより構成された加加速度補正付きフィルタ部110により、速度比例と加速度比例と加加速度比例の位置ずれを補正し、非線形誤差成分が除去された位置成分のデータを描画演算回路111が入力することで、入力された位置成分のデータでXYステージ105の位置を検出することができる。よって、描画演算回路111は、かかる高精度な位置データにより高精度なパターン位置精度を実現することができる。そして、描画演算回路111は、電子線200が偏向されて、描画室103内で連続移動するXYステージ105上の試料101の所望する位置に追従して照射されるように偏向器208を制御する。その結果、描画部により前記試料101の所望する位置に所定のパターンを描画することができる。
図27は、LPFのみのフィルタと速度補正付きフィルタと加速度補正付きフィルタと加加速度補正付きフィルタを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図27(a)では、周波数に対するゲインの関係を示している。図27(b)では、周波数に対する位相の関係を示している。図27(b)に示すように、高次の補正、加加速度補正側に向かって位相遅れが始まる周波数領をさらに高周波側にずらすことができる。よって、加加速度補正側に向かってより位置ずれを抑制することができる。
以上の説明において、加加速度誤差成分の除去までの説明としているが、これに限るものではなく、さらに、HPFとLPFとの組み合わせを前段のHPFと直列に前段のLPFと並列に接続していくことで、さらに高次の非線形誤差成分を除去することができる。
ここで、上述した各実施の形態におけるフィルタ部110は、デジタルフィルタとして構成することができる。例えば、FPGA(Field Programmable Gate Array)内にプログラムとして組み込まれても構わない。言い換えれば、「フィルタ部」は、コンピュータで動作可能なプログラムにより構成することができる。或いは、ソフトウェアとなるプログラムだけではなく、ハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、ファームウェアとの組合せでも構わない。また、プログラムにより構成される場合、プログラムは、磁気ディスク装置、磁気テープ装置、FD、或いはROM(リードオンリメモリ)等の記録媒体に記録される。
図28は、従来技術との比較を説明するための図である。
図28(a)には、従来技術として、本実施の形態1或いは本実施の形態2における組合わせフィルタを通さないで電子線露光を行なった場合を示している。非線形誤差成分により正確なXYステージ105の位置が測定できないため、XYステージ105上の試料101の所望する位置も正確に特定することができない。その結果、電子線のショット位置がずれてしまう。図28(a)では、位置ずれによりパターンが断線している様子を示している。これに対し、上述した各実施の形態における組合わせフィルタを通すことにより、非線形誤差成分が除去され、高精度なXYステージ105の位置を測定することができる。その結果、図28(b)に示すように、電子線のショット位置にずれがなく、所望する位置に所定のパターンを描画することができる。
また、各実施の形態における組合わせフィルタを通すことによる効果として、さらに、デジタルノイズを低減させることもできる。かかるデジタルノイズとして、例えば、レーザ干渉計300の位置データのLSB(Least Significant Bit)がゆらぐことにより位置誤差が生じてしまうものが挙げられる。本実施の形態1における組合わせフィルタを通すことによりLSBのゆらぎがなくなり位置精度を向上させることができる。
以上の説明において、各実施の形態では、電子線を用いているが、これに限るものではなく、イオン等を含めた荷電粒子線でも構わない。また、描画装置として、可変成形型電子線描画装置を一例として記載したが、これに限るものではなく、可変させない電子線を用いる描画装置であっても構わない。
通常、ステージをゆっくり動かす場合には、非線形誤差成分の周波数が低くなり、ステージを速く動かす場合には、非線形誤差成分の周波数が高くなる。よって、より高速、高加速、或いは高加加速で動かすマスクステージやウェハステージの位置検出時の方が非線形誤差成分がより高周波側となるため、より減衰された領域となり、より非線形誤差成分を除去することができる。
以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。描画装置に限らず連続移動するステージおよび不連続移動するステージの位置測定に各実施の形態における組合わせフィルタを組み込むことで、より高精度な位置測定を実現することができる。
また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。
その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての位置測定装置、描画装置及び位置測定方法は、本発明の範囲に包含される。
実施の形態1における描画装置の構成を示す概念図である。 ステージ移動の様子を説明するための図である。 フィルタ部の内部構成を示すブロック図である。 本実施の形態1における位置測定方法のフローチャートを示す図である。 速度パターンの一例を示す図である。 変位パターンの一例を示す図である。 LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。 LPF122の伝達関数式を示す図である。 LPFのみを通過させただけの場合の位置ずれシミュレーション結果を示す図である。 1組目のHPFとLPFとを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。 LPFを通過後の位置成分のデータと1組のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。 速度補正付きデジタルフィルタの伝達関数式を示す図である。 速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 LPFを通過後の位置成分のデータと1組目のHPFとLPFとを通過後の位置成分のデータと2組目のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。 加速度補正付きデジタルフィルタの伝達関数式を示す図である。 加速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 実施の形態2におけるフィルタ部の内部構成を示すブロック図である。 加速度パターンと速度パターンの一例を示す図である。 LPFのみを通過させただけの場合の位置ずれシミュレーション結果を示す図である。 速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 加速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 加速度パターンと速度パターンの別の一例を示す図である。 加速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 LPFを通過後の位置成分のデータと1組目のHPFとLPFとを通過後の位置成分のデータと2組目のHPFとLPFと3組目のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。 加加速度補正付きデジタルフィルタの伝達関数式を示す図である。 加加速度補正付きデジタルフィルタを通過させた場合の位置ずれシミュレーション結果を示す図である。 LPFのみのフィルタと速度補正付きフィルタと加速度補正付きフィルタと加加速度補正付きフィルタを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。 従来技術との比較を説明するための図である。 可変成形型電子線露光装置の動作を説明するための概念図である。
符号の説明
100 描画装置
101,340 試料
102 電子鏡筒
103 描画室
104 ミラー
105 XYステージ
106 駆動部
107 レーザヘッド
108 受光部
109 位置演算部
110 フィルタ部
111 描画演算回路
112 光学系
122,126,136,146 LPF
124,134,144 HPF
128 加算器
200,330 電子線
201 電子銃
202 照明レンズ
203,206,410,420 アパーチャ
204 投影レンズ
205,208 偏向器
207 対物レンズ
300 レーザ干渉計
411 開口
421 可変成形開口
430 荷電粒子ソース

Claims (5)

  1. 移動可能なステージと、
    レーザを用いて、前記ステージの移動位置を測定する測定部と、
    前記測定部の測定値から所定の周波数領域の成分を減衰させる第1のフィルタと、
    前記第1のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第2のフィルタと、
    前記第2のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第3のフィルタと、
    前記第2のフィルタと直列に前記第3のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第4のフィルタと、
    前記第4のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第5のフィルタと、
    前記第1のフィルタを通過した前記測定部の測定値と、前記第2と第3のフィルタを通過した前記測定部の測定値と、前記第2と第4と第5のフィルタを通過した前記測定部の測定値とを合成し、合成された合成値を出力する合成部と、
    を備えたことを特徴とする位置測定装置。
  2. 前記第1と第3のフィルタとして、ローパスフィルタを用い、
    前記第2のフィルタとして、ハイパスフィルタを用い、
    前記第4のフィルタとして、ハイパスフィルタとローパスフィルタとのうち、いずれか一方を用い、
    前記第5のフィルタとして、前記ローパスフィルタとハイパスフィルタとのうち、前記第4のフィルタとは逆の一方を用いることを特徴とする請求項1記載の位置測定装置。
  3. 前記位置測定装置は、さらに、
    前記第4のフィルタと直列に前記第5のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第6のフィルタと、
    前記第6のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第7のフィルタと、
    を備え、
    前記合成部は、さらに、前記第2と第4と第6と第7のフィルタを通過した前記測定部の測定値を合成し、合成された合成値を出力することを特徴とする請求項1記載の位置測定装置。
  4. 試料を載置し、前記試料を載置した状態で移動するステージと、
    レーザを用いて、前記ステージの移動位置を測定する測定部と、
    所定のカットオフ周波数に設定した状態で前記測定部の測定値を通過させるローパスフィルタと、
    前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記測定部の測定値を通過させる1組目のローパスフィルタとハイパスフィルタと、
    前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記1組目のローパスフィルタとハイパスフィルタとのうちハイパスフィルタを通過し、前記1組目のローパスフィルタとハイパスフィルタとのうちのローパスフィルタを通過する前の測定値を通過させる2組目のローパスフィルタとハイパスフィルタと、
    前記ローパスフィルタを通過した前記測定部の測定値と、前記1組目のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値と、前記2組目のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記試料の所望する位置に所定のパターンを描画する描画部と、
    を備えたことを特徴とする描画装置。
  5. レーザを用いて、ステージの移動位置を測定する測定工程と、
    所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記測定工程により測定された測定値から非線形誤差成分を除去する非線形誤差成分除去工程と、
    前記所定のカットオフ周波数に設定された1組目のローパスフィルタとハイパスフィルタとを用いて、前記測定工程により測定された測定値に対する速度比例の位置ずれの発生を補正する速度比例位置ずれ補正工程と、
    前記所定のカットオフ周波数に設定された2組目のローパスフィルタとハイパスフィルタに、前記1組目のローパスフィルタとハイパスフィルタとのうちのハイパスフィルタを通過し、前記1組目のローパスフィルタとハイパスフィルタとのうちのローパスフィルタを通過する前の測定値を通過させることによって、前記測定値に対する加速度比例の位置ずれの発生を補正する加速度比例位置ずれ補正工程と、
    を備えたことを特徴とする位置測定方法。
JP2005218227A 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法 Active JP4272189B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005218227A JP4272189B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法
TW095123979A TWI313486B (en) 2005-07-28 2006-06-30 Position measurement apparatus and method and writing apparatus and method
US11/492,843 US7640142B2 (en) 2005-07-28 2006-07-26 Position measurement apparatus and method and pattern forming apparatus and writing method
KR1020060070497A KR100755169B1 (ko) 2005-07-28 2006-07-27 위치 측정 장치, 묘화 장치 및 위치 측정 방법 및 묘화방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005218227A JP4272189B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法

Publications (2)

Publication Number Publication Date
JP2007033282A JP2007033282A (ja) 2007-02-08
JP4272189B2 true JP4272189B2 (ja) 2009-06-03

Family

ID=37792728

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005218227A Active JP4272189B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法

Country Status (1)

Country Link
JP (1) JP4272189B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012002731A (ja) * 2010-06-18 2012-01-05 Nuflare Technology Inc 検査装置および検査方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101350980B1 (ko) 2007-12-31 2014-01-15 삼성전자주식회사 Cd 선형성을 보정할 수 있는 가변 성형 빔을 이용한 노광방법 및 이를 이용한 패턴 형성 방법
JP2010192508A (ja) * 2009-02-16 2010-09-02 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5344947B2 (ja) * 2009-02-16 2013-11-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
NL2006804A (en) 2010-06-24 2011-12-28 Asml Netherlands Bv Measurement system, method and lithographic apparatus.

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187235A (ja) * 1997-09-09 1999-03-30 Canon Inc 能動的除振装置
JP3588088B2 (ja) * 2002-04-30 2004-11-10 株式会社東芝 電子ビーム描画装置
JP2004334772A (ja) * 2003-05-12 2004-11-25 Hitachi Ltd 電動機による位置決め制御方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012002731A (ja) * 2010-06-18 2012-01-05 Nuflare Technology Inc 検査装置および検査方法

Also Published As

Publication number Publication date
JP2007033282A (ja) 2007-02-08

Similar Documents

Publication Publication Date Title
KR100755169B1 (ko) 위치 측정 장치, 묘화 장치 및 위치 측정 방법 및 묘화방법
KR100982817B1 (ko) 하전 입자 빔 묘화 장치 및 하전 입자 빔 묘화 방법
JP5204687B2 (ja) 荷電粒子ビーム描画方法および荷電粒子ビーム描画装置
JP4272189B2 (ja) 位置測定装置、描画装置及び位置測定方法
KR20130113384A (ko) 하전 입자빔의 편향 형상 오차 취득 방법 및 하전 입자빔 묘화 방법
US8421040B2 (en) Writing apparatus and writing method
JP4272188B2 (ja) 位置測定装置、描画装置及び位置測定方法
US8133402B2 (en) Pattern forming method, charged particle beam writing apparatus, and recording medium on which program is recorded
JP6477229B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5204697B2 (ja) 荷電粒子ビーム描画装置及び位置測定方法
JP6937125B2 (ja) ステージ機構の位置補正方法及び荷電粒子ビーム描画装置
JP7017129B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2010192508A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2008042173A (ja) 荷電粒子ビーム描画方法、荷電粒子ビーム描画装置及びプログラム
JP2011066236A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
CN111552150B (zh) 带电粒子束描绘装置及带电粒子束描绘方法
JP3914817B2 (ja) 荷電粒子ビーム描画方法
JP2008016541A (ja) 電子ビーム描画装置、電子ビーム描画方法、及び制御プログラム
JP5344947B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5441806B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2009088371A (ja) 荷電粒子ビーム描画装置及びステージのミラー曲がり変形量取得方法
JP3710422B2 (ja) 近接露光方式電子ビーム露光装置の副偏向器のゲイン較正方法
JP2006203066A (ja) 荷電粒子線露光装置の制御方法及び荷電粒子線露光装置
JP2001203162A (ja) 電子線描画方法及び電子線描画装置
JP5350523B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090224

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090226

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4272189

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140306

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250