JP4272188B2 - 位置測定装置、描画装置及び位置測定方法 - Google Patents

位置測定装置、描画装置及び位置測定方法 Download PDF

Info

Publication number
JP4272188B2
JP4272188B2 JP2005218226A JP2005218226A JP4272188B2 JP 4272188 B2 JP4272188 B2 JP 4272188B2 JP 2005218226 A JP2005218226 A JP 2005218226A JP 2005218226 A JP2005218226 A JP 2005218226A JP 4272188 B2 JP4272188 B2 JP 4272188B2
Authority
JP
Japan
Prior art keywords
pass filter
filter
measurement
low
measurement unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005218226A
Other languages
English (en)
Other versions
JP2007033281A (ja
Inventor
嗣之 奥屋
真 三田
和道 安井
潔 中曽
雄一 立川
清司 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2005218226A priority Critical patent/JP4272188B2/ja
Priority to TW095123979A priority patent/TWI313486B/zh
Priority to US11/492,843 priority patent/US7640142B2/en
Priority to KR1020060070497A priority patent/KR100755169B1/ko
Publication of JP2007033281A publication Critical patent/JP2007033281A/ja
Application granted granted Critical
Publication of JP4272188B2 publication Critical patent/JP4272188B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、位置測定装置、描画装置及び位置測定方法に関し、特に、レーザ測長によるステージの位置測定手法に関する。
近年、LSIの高集積化に伴い、半導体装置に要求される回路線幅は年々微細化されてきている。これらの半導体装置へ所望の回路パターンを形成するためには、従来所望の回路パターンが形成された数十種類の原画パターン(レチクル或いはマスクともいう。)をステージ上に搭載されたウェハの露光領域に高精度に位置合わせし、その後、光源からレーザ光等を照射することで、マスクに形成された所望の回路パターンがかかるウェハ上の露光領域に転写される。例えば、縮小投影露光装置が用いられる。かかる原画パターンは、高精度に仕上げられたガラス基板上に描かれ、レジストプロセス等を経て形成される。一般的には、片面にクロム(Cr)を蒸着したガラス基板上にレジスト材を均一に塗布したものに、電子線やレーザ等を光源としたエネルギービームを用いて所望の場所のレジスト材を感光させる。そして、現像後、Crをエッチングすることでパターンを形成することができる。
従来、半導体デバイスの生産では、上述したようにレーザ等の光露光技術が用いられてきたが、近年、半導体デバイスの微細化に伴って、原画パターンにおいても優れた解像成を有する電子線(電子ビーム)露光技術が生産に用いられている。
図13は、可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線描画装置(EB(Electron beam)描画装置)における第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形用開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形用開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形用開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形用開口421との両方を通過させ、任意形状を作成する方式を可変成形方式という(例えば、特許文献1参照)。
以上のようなレーザ光等を照射する光露光装置や電子線を照射する電子線露光装置のいずれの装置においても、ステージの高精度な位置合わせが必要となる。一般に、ステージの位置は、レーザ干渉計を用いたレーザ測長システムにより測定される。しかしながら、レーザ干渉計を用いたレーザ測長システムによりステージの位置を測定する場合には、測定された位置データに非線形誤差を含んでしまう。パターンの微細化に伴い、かかる非線形誤差が描画精度に影響するようになってきた。
ここで、レーザ測長システムによる非線形誤差を補正する技術ではないが、ウェハのゆがみやアライメントマークの崩れによる非線形誤差を補正する技術が文献に開示されている(例えば、特許文献2,3参照)。また、制御対象機械を目標位置に移動させる機械装置において送りねじのバックラッシュまたはカップリングのねじれによる誤差要素をローパスフィルタやハイパスフィルタにて補正する技術が文献に開示されている(例えば、特許文献4参照)。その他、ローパスフィルタやハイパスフィルタに関連した技術が文献に開示されている(例えば、特許文献5,6参照)。
特開2000−58424号公報 特開平6−291021号公報 特開平6−349707号公報 特開2004−171333号公報 特開平8−285538号公報 特開平3−152770号公報
上述したように、レーザ光等を照射する光露光装置や電子線を照射する電子線露光装置のいずれの装置においても、ステージの高精度な位置合わせが必要となる。しかしながら、ステージの位置を測定するレーザ干渉計を用いたレーザ測長システムの測定結果には、上述したように非線形誤差を含んでしまうといった問題があった。理想的には測定器から被測定物までの距離とその位置データは完全なリニアリティ(線形)の関係になるはずであるが、レーザ測長の場合、光学的なパス(光路)の問題で誤差を含んでしまう。具体的には、レーザ光中に混在している垂直波と水平波とが互いに干渉してしまい非線形誤差を生じさせる。すなわち、レーザ測長システム中のミラー等の反射により垂直波には水平波の成分が、水平波には垂直波の成分が干渉して非線形誤差を発生させてしまう。パターンの微細化に伴い、かかる非線形誤差が描画精度に影響するようになってきており、かかる非線形誤差の影響により正確なステージ位置が測定できず、所望する位置から外れた位置にパターンを描画してしまうといった問題が生じてしまう。
本発明は、かかる問題点を克服し、非線形誤差を補正して高精度な位置を測定する手法を提供することを目的とする。
本発明の一態様の位置測定装置は、
移動可能なステージと、
レーザを用いて、前記ステージの移動位置を測定する測定部と、
前記測定部の測定値から所定の周波数領域の成分を減衰させる第1のフィルタと、
前記第1のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第2のフィルタと、
前記第2のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第3のフィルタと、
前記第1のフィルタを通過した前記測定部の測定値と、前記第2と第3のフィルタを通過した前記測定部の測定値とを合成し、合成された合成値を出力する合成部と、
を備えたことを特徴とする。
かかる構成により、非線形誤差の領域に所定の周波数領域を設定することで、前記第1のフィルタにより、非線形誤差成分を前記測定部の測定値から減衰させることができる。ここで、前記第1のフィルタを通過させるだけでは、前記第1のフィルタを通過した前記測定部の測定値に位相ずれが生じてしまうことになるが、前記第1のフィルタを通過した前記測定部の測定値に、別に前記第2と第3のフィルタを通過した前記測定部の測定値を合成することで、位相ずれを補正することができる。
そして、本発明にかかる前記第1のフィルタとして、ローパスフィルタを用い、
前記第2のフィルタとして、ローパスフィルタとハイパスフィルタとのうち、いずれか一方を用い、
前記第3のフィルタとして、前記ローパスフィルタとハイパスフィルタとのうち、前記第2のフィルタとは逆の一方を用いることを特徴とする。
通常、前記非線形誤差成分は、ステージの移動速度に対応する周波数領域よりも高い周波数となる。よって、前記第1のフィルタとして、ローパスフィルタを用いることで、かかる非線形誤差成分を除去することができる。さらに、前記第2或いは第3のフィルタとして、ハイパスフィルタを用いることで、前記第1のフィルタによる位相遅れとは逆に位相を速め、前記第2或いは第3のフィルタとして、ローパスフィルタを用いることで、後に合成させた場合に、位相遅れを改善すると共に前記非線形誤差成分が再度含まれないようにすることができる。
また、本発明の一態様の描画装置は、
試料を載置し、前記試料を載置した状態で移動するステージと、
レーザを用いて、前記ステージの移動位置を測定する測定部と、
所定のカットオフ周波数に設定した状態で前記測定部の測定値を通過させるローパスフィルタと、
前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記測定部の測定値を通過させる1組のローパスフィルタとハイパスフィルタと、
前記ローパスフィルタを通過した前記測定部の測定値と、前記1組のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記試料の所望する位置に所定のパターンを描画する描画部と、
を備えたことを特徴とする。
前記ローパスフィルタのカットオフ周波数を非線形誤差成分がカットされるように設定することで、前記測定部の測定値から非線形誤差成分を除去することができる。そして、ローパスフィルタ通過により生じた位相遅れを上述したように前記1組のローパスフィルタとハイパスフィルタにより補正することができる。よって、描画部が、非線形誤差成分が除去された前記ステージの移動位置に基づいて前記試料の所望する位置に所定のパターンを描画することができる。
さらに、本発明における前記描画部は、荷電粒子線を前記試料に照射し、前記ローパスフィルタを通過した前記測定部の測定値と、前記1組のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記荷電粒子線を偏向させることを特徴とする。
前記合成値に基づいて、前記荷電粒子線を偏向させることで、高精度な位置に前記荷電粒子線を照射することができる。
また、上述した各装置の位置測定手法を方法で表すと、本発明の一態様の位置測定方法は、
レーザを用いて、ステージの移動位置を測定する測定工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記測定工程により測定された測定値から非線形誤差成分を除去する非線形誤差成分除去工程と、
前記ローパスフィルタと並列に配置され、前記所定のカットオフ周波数と同一なカットオフ周波数に設定された1組のローパスフィルタとハイパスフィルタに前記測定値を通過させることで、前記非線形誤差成分除去工程において前記ローパスフィルタを用いた結果、前記測定値に生じた位相遅れを修正する位相遅れ修正工程と、
を備えたことを特徴とする。
本発明によれば、非線形誤差成分を除去すると共に、位相遅れを修正することができるので、より高精度な位置を測定することができる。より高精度な位置を測定することができるので、高精度な描画を行なうことができる。
実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。
図1において、描画装置100は、描画部の一例となる電子鏡筒102、描画演算回路111、描画室103と、XYステージ105、駆動部106、測定部の一例となるレーザ干渉計300、位置演算部109、フィルタ部110を備えている。電子鏡筒102内には、電子銃201、照明レンズ202、第1のアパーチャ203、投影レンズ204、偏向器205、第2のアパーチャ206、対物レンズ207、偏向器208を有している。レーザ干渉計300は、レーザ光源となるレーザヘッド107、ミラー104、光学系112、受光部108を有している。
電子銃201から出た電子線200は、照明レンズ202により矩形例えば長方形の穴を持つ第1のアパーチャ203全体を照明する。ここで、電子線200をまず矩形例えば長方形に成形する。そして、第1のアパーチャ203を通過した第1のアパーチャ像の電子線200は、投影レンズ204により第2のアパーチャ206上に投影される。かかる第2のアパーチャ206上での第1のアパーチャ像の位置は、偏向器205によって制御され、ビーム形状と寸法を変化させることができる。そして、第2のアパーチャ206を通過した第2のアパーチャ像の電子線200は、対物レンズ207により焦点を合わせ、偏向器208により偏向されて、描画室103内に移動可能に配置されたXYステージ105上の試料101の所望する位置に照射される。
図2は、ステージ移動の様子を説明するための図である。
試料101に描画する場合には、XYステージ105を駆動部106によりX方向に連続移動させながら、描画(露光)面を電子線200が偏向可能な短冊状の複数のストライプ領域に仮想分割された試料101の1つのストライプ領域上を電子線200が照射する。XYステージ105のX方向の移動は、連続移動とし、同時に電子線200のショット位置もステージ移動に追従させる。連続移動させることで描画時間を短縮させることができる。そして、1つのストライプ領域を描画し終わったら、XYステージ105を駆動部106によりY方向にステップ送りしてX方向(今度は逆向き)に次のストライプ領域の描画動作を行なう。各ストライプ領域の描画動作を蛇行させるように進めることでXYステージ105の移動時間を短縮することができる。
図3は、フィルタ部の内部構成を示すブロック図である。
レーザ測長システムの一例であるレーザ干渉計300にて描画室103内に設置されたXYステージ105の位置を測定する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。そして、所定のカットオフ周波数に設定したローパスフィルタ(以降LPFという)122に前記位置成分のデータを通過させる。一方で、LPF122と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した1組のハイパスフィルタ(以降HPFという)124とLPF126に、前記位置成分のデータを通過させる。そして、LPF122を通過したデータと1組のHPF124とLPF126を通過したデータとを合成部の一例となる加算器128で加算し合成する。そして、合成された合成値を描画部の描画演算回路111に出力する。
図4は、本実施の形態1における位置測定方法のフローチャートを示す図である。
S(ステップ)502において、測定工程として、上述したように、レーザ干渉計300にて描画室103内に設置された連続移動するXYステージ105の位置を測定する。すなわち、描画室103内に設置されたXYステージ105上に取付けられたミラー104に、レーザ投光部となるレーザヘッド107から光学系112を介してレーザ光を当てて、反射したレーザ光を、光学系112を介して受光部108にて受光する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。ここで、上述したように、かかる位置成分のデータには、非線形誤差成分が内在している。
図5は、位置と測定出力との関係を示す図である。
理想的には測定器から被測定物までの距離とその位置データは完全なリニアリティ(線形)の関係になるはずである。すなわち、位置の変化とその出力は、比例しているはずである。しかしながら、図5に示すように、レーザ測長の場合、光学的なパス(光路)の問題で非線形誤差成分を含んでしまう。具体的には、レーザ光中に混在している垂直波と水平波とが互いに干渉してしまい非線形誤差を生じさせる。すなわち、レーザ測長システム中のミラー等の反射により垂直波には水平波の成分が、水平波には垂直波の成分が干渉して非線形誤差を発生させてしまう。かかる非線形誤差成分が線形成分に乗ったデータとなってしまう。
S504において、非線形誤差成分除去工程として、前記測定工程により測定された測定値から非線形誤差成分を除去する。通常、かかる非線形誤差成分は、XYステージ105の位置成分に対して高周波であるため、第1のフィルタとしてLPF122を通過させることで、レーザ干渉計300の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させる。
図6は、LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図6(a)に示すように、LPF122を所定のカットオフ周波数に設定することで、レーザ干渉計300の測定値から変換された位置成分のデータから、高周波領域の非線形誤差成分を含む周波数領域を減衰させ、実質的に除去することができる。しかし、図6(b)に示すように、LPF122を通過させることで、位置成分のデータに位相遅れが生じてしまう。このままLPF122を通過したデータに基づいてXYステージ105の位置を判断すると位相遅れによりリアルタイム性が劣化し、後述するビーム照射位置にずれが生じてしまう恐れがある。
S506において、位相修正用成分形成工程として、上述した位相遅れを修正するデータ成分を形成する。第2のフィルタとしてHPF124が、LPF122と並列に接続され、LPF122と同じカットオフ周波数でかかる測定値からLPF122が減衰させる周波数領域以外の成分を減衰させる。
そして、第3のフィルタとしてLPF126が、HPF124と直列に接続され、LPF122と同じカットオフ周波数でかかる測定値からLPF122が減衰させる周波数領域の成分と同じ周波数領域の成分を減衰させる。
図7は、1組のHPFとLPFとを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図7(b)に示すように、HPF124を通過させることで、位置成分のデータの位相が速まる。そして、図7(a)に示すように、LPF122が減衰させる周波数領域以外の成分を減衰させておく。さらに、LPF126を通過させることで、LPF122が減衰させる周波数領域の成分と同じ周波数領域の成分を減衰させておく。かかる位相を早め、かつLPF122と同じカットオフ周波数で両側の周波数領域を減衰させた位置成分のデータを形成する。
S508において、位相修正工程として、LPF122を用いた結果、位置成分のデータに生じた位相遅れを修正する。合成部の一例となる加算器128が、LPF122を通過したレーザ干渉計300の測定値から変換された位置成分のデータと、HPF124とLPF126とを通過したレーザ干渉計300の測定値から変換された位置成分のデータとを加算して合成し、合成された合成値を描画部の描画演算回路111に出力する。
図8は、LPFを通過後の位置成分のデータと1組のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。
図8(b)に示すように、2つのデータを加算して合成することで、位相遅れを修正し、位相遅れが始まる周波数領域を高周波側にずらすことができる。また、図8(a)に示すように、ゲインの減衰開始位置も若干高周波側にずらすことができる。
必要な周波数領域におけるゲインと位相がずれないようにLPF122とHPF124とLPF126のカットオフ周波数を調整することで、位相ずれを抑えながら非線形誤差成分を除去することができる。
ここで、HPF124とLPF126は、どちらを上流側(1次側)に配置しても構わない。すなわち、HPF124とLPF126の順序はどちらが先でも構わない。どちらを上流側に配置しても同様の効果を得ることができる。
また、フィルタ部110は、デジタルフィルタとして構成することができる。例えば、FPGA(Field Programmable Gate Array)内にプログラムとして組み込まれても構わない。言い換えれば、「フィルタ部」は、コンピュータで動作可能なプログラムにより構成することができる。或いは、ソフトウェアとなるプログラムだけではなく、ハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、ファームウェアとの組合せでも構わない。また、プログラムにより構成される場合、プログラムは、磁気ディスク装置、磁気テープ装置、FD、或いはROM(リードオンリメモリ)等の記録媒体に記録される。
図9は、デジタルフィルタの伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、図9(b)に示すように、LPF122の伝達関数式は、1/(1+τ・S)で示すことができる。同様に、HPF124の伝達関数式は、τ・S/(1+τ・S)で示すことができる。同様に、LPF126の伝達関数式は、1/(1+τ・S)で示すことができる。よって、図9(a)に示すように、フィルタ部110全体の組み合わせデジタルフィルタの伝達関数G(S)=1/(1+τ・S)+τ・S/(1+τ・S)・1/(1+τ・S)で示すことができる。
ここで、LPF122とHPF124とLPF126のカットオフ周波数は、同一のカットオフ周波数が望ましい。ただし、上述したような非線形誤差成分の除去と位相遅れの修正効果が認められる範囲での若干のずれを排除するものではない。同一のカットオフ周波数の場合、τ=τ=τとなる。
図10は、本実施の形態1におけるフィルタを通過後のゲインの変化量と位相の変化量の一例を示す図である。
図10では、一例として、LPF122のカットオフ周波数fとHPF124のカットオフ周波数fとLPF126のカットオフ周波数fをどれも5kHzとした場合、どれも3kHzとした場合、どれも1kHzとした場合、の3通りについて、周波数fが100Hzの位置におけるゲインの変化量と位相の変化量、及び周波数fが6.3kHzの位置におけるゲインの変化量と位相の変化量を示している。
図10の例では、カットオフ周波数をどれも1kHzとした場合、例えば、XYステージ105を1mm/sの速度で連続移動する場合の位置検出において必要な周波数100Hzの位置におけるゲインの変化量と位相の変化量がほとんど無い中で、非線形誤差成分にあたる周波数6.3kHzの位置におけるゲインの変化量が大きい(32%に減衰)ことがわかる。よって、本実施の形態1における組合わせフィルタを通過させることで、非線形誤差成分を除去できると共に、位相遅れを改善することができる。
図11は、本実施の形態1における組合わせフィルタを通す場合と通さない場合との位置成分のデータの波形の一例を示す図である。
図11(a)には、フィルタOFF、すなわち、組合わせフィルタを通さない場合の位置成分のデータの波形の一例が示されている。図11(a)では、非線形誤差成分により波形の振幅が大きく振れていることがわかる。これに対し、フィルタON、すなわち、本実施の形態1における組合わせフィルタを通すことにより、図11(b)に示すように非線形誤差成分が除去され、波形の振幅が小さくなった様子がわかる。
以上のように、位相遅れが修正され、非線形誤差成分が除去された位置成分のデータを描画演算回路111が入力することで、入力された位置成分のデータでXYステージ105の位置を検出することができる。よって、描画演算回路111は、かかる高精度な位置データにより高精度なパターン位置精度を実現することができる。そして、描画演算回路111は、電子線200が偏向されて、描画室103内で連続移動するXYステージ105上の試料101の所望する位置に追従して照射されるように偏向器208を制御する。その結果、描画部により前記試料101の所望する位置に所定のパターンを描画することができる。
図12は、従来技術との比較を説明するための図である。
図12(a)には、従来技術として、本実施の形態1における組合わせフィルタを通さないで電子線露光を行なった場合を示している。非線形誤差成分により正確なXYステージ105の位置が測定できないため、XYステージ105上の試料101の所望する位置も正確に特定することができない。その結果、電子線のショット位置がずれてしまう。図12(a)では、位置ずれによりパターンが断線している様子を示している。これに対し、本実施の形態1における組合わせフィルタを通すことにより、非線形誤差成分が除去され、高精度なXYステージ105の位置を測定することができる。その結果、図12(b)に示すように、電子線のショット位置にずれがなく、所望する位置に所定のパターンを描画することができる。
また、本実施の形態1における組合わせフィルタを通すことによる効果として、さらに、デジタルノイズを低減させることもできる。かかるデジタルノイズとして、例えば、レーザ干渉計300の位置データのLSB(Least Significant Bit)がゆらぐことにより位置誤差が生じてしまうものが挙げられる。本実施の形態1における組合わせフィルタを通すことによりLSBのゆらぎがなくなり位置精度を向上させることができる。
以上の説明において、本実施の形態1では、電子線を用いているが、これに限るものではなく、イオン等を含めた荷電粒子線でも構わない。また、描画装置として、可変成形型電子線描画装置を一例として記載したが、これに限るものではなく、可変させない電子線を用いる描画装置であっても構わない。
さらに、ステージ連続移動方式のステッパ(スキャナ)装置に本実施の形態1における組合わせフィルタを組み込んでも有効である。ステッパ(スキャナ)装置では、例えば、マスクステージの移動速度が2000mm/s、ウェハステージの移動速度が500mm/sに構成される。かかるマスクステージ或いはウェハステージ或いはマスクステージとウェハステージとの両方の位置測定に本実施の形態1における組合わせフィルタを組み込むことで、より高精度な位置測定を実現することができる。
通常、ステージをゆっくり動かす場合には、非線形誤差成分の周波数が低くなり、ステージを速く動かす場合には、非線形誤差成分の周波数が高くなる。よって、本実施の形態1における電子線描画装置における、例えば、1mm/sの速度で連続移動するXYステージ105の位置検出時よりステッパ(スキャナ)装置のマスクステージやウェハステージの位置検出時の方が非線形誤差成分がより高周波側となるため、より減衰された領域となり、より非線形誤差成分を除去することができる。
以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。描画装置に限らず連続移動するステージの位置測定に本実施の形態1における組合わせフィルタを組み込むことで、より高精度な位置測定を実現することができる。
また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。
その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての位置測定装置、描画装置及び位置測定方法は、本発明の範囲に包含される。
実施の形態1における描画装置の構成を示す概念図である。 ステージ移動の様子を説明するための図である。 フィルタ部の内部構成を示すブロック図である。 本実施の形態1における位置測定方法のフローチャートを示す図である。 位置と測定出力との関係を示す図である。 LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。 1組のHPFとLPFとを通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。 LPFを通過後の位置成分のデータと1組のHPFとLPFとを通過後の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。 デジタルフィルタの伝達関数式を示す図である。 本実施の形態1におけるフィルタを通過後のゲインの変化量と位相の変化量の一例を示す図である。 本実施の形態1における組合わせフィルタを通す場合と通さない場合との位置成分のデータの波形の一例を示す図である。 従来技術との比較を説明するための図である。 可変成形型電子線露光装置の動作を説明するための概念図である。
符号の説明
100 描画装置
101,340 試料
102 電子鏡筒
103 描画室
104 ミラー
105 XYステージ
106 駆動部
107 レーザヘッド
108 受光部
109 位置演算部
110 フィルタ部
111 描画演算回路
112 光学系
122,126 LPF
124 HPF
128 加算器
200,330 電子線
201 電子銃
202 照明レンズ
203,206,410,420 アパーチャ
204 投影レンズ
205,208 偏向器
207 対物レンズ
300 レーザ干渉計
411 開口
421 可変成形開口
430 荷電粒子ソース

Claims (5)

  1. 移動可能なステージと、
    レーザを用いて、前記ステージの移動位置を測定する測定部と、
    前記測定部の測定値から所定の周波数領域の成分を減衰させる第1のフィルタと、
    前記第1のフィルタと並列に接続され、前記測定部の測定値から前記所定の周波数領域以外の成分を減衰させる第2のフィルタと、
    前記第2のフィルタと直列に接続され、前記測定部の測定値から前記所定の周波数領域の成分を減衰させる第3のフィルタと、
    前記第1のフィルタを通過した前記測定部の測定値と、前記第2と第3のフィルタを通過した前記測定部の測定値とを合成し、合成された合成値を出力する合成部と、
    を備えたことを特徴とする位置測定装置。
  2. 前記第1のフィルタとして、ローパスフィルタを用い、
    前記第2のフィルタとして、ローパスフィルタとハイパスフィルタとのうち、いずれか一方を用い、
    前記第3のフィルタとして、前記ローパスフィルタとハイパスフィルタとのうち、前記第2のフィルタとは逆の一方を用いることを特徴とする請求項1記載の位置測定装置。
  3. 試料を載置し、前記試料を載置した状態で移動するステージと、
    レーザを用いて、前記ステージの移動位置を測定する測定部と、
    所定のカットオフ周波数に設定した状態で前記測定部の測定値を通過させるローパスフィルタと、
    前記所定のカットオフ周波数と同一なカットオフ周波数に設定した状態で、前記測定部の測定値を通過させる1組のローパスフィルタとハイパスフィルタと、
    前記ローパスフィルタを通過した前記測定部の測定値と、前記1組のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記試料の所望する位置に所定のパターンを描画する描画部と、
    を備えたことを特徴とする描画装置。
  4. 前記描画部は、荷電粒子線を前記試料に照射し、前記ローパスフィルタを通過した前記測定部の測定値と、前記1組のローパスフィルタとハイパスフィルタを通過した前記測定部の測定値との合成値に基づいて、前記荷電粒子線を偏向させることを特徴とする請求項3記載の描画装置。
  5. レーザを用いて、ステージの移動位置を測定する測定工程と、
    所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記測定工程により測定された測定値から非線形誤差成分を除去する非線形誤差成分除去工程と、
    前記ローパスフィルタと並列に配置され、前記所定のカットオフ周波数と同一なカットオフ周波数に設定された1組のローパスフィルタとハイパスフィルタに前記測定値を通過させることで、前記非線形誤差成分除去工程において前記ローパスフィルタを用いた結果、前記測定値に生じた位相遅れを修正する位相遅れ修正工程と、
    を備えたことを特徴とする位置測定方法。
JP2005218226A 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法 Active JP4272188B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005218226A JP4272188B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法
TW095123979A TWI313486B (en) 2005-07-28 2006-06-30 Position measurement apparatus and method and writing apparatus and method
US11/492,843 US7640142B2 (en) 2005-07-28 2006-07-26 Position measurement apparatus and method and pattern forming apparatus and writing method
KR1020060070497A KR100755169B1 (ko) 2005-07-28 2006-07-27 위치 측정 장치, 묘화 장치 및 위치 측정 방법 및 묘화방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005218226A JP4272188B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法

Publications (2)

Publication Number Publication Date
JP2007033281A JP2007033281A (ja) 2007-02-08
JP4272188B2 true JP4272188B2 (ja) 2009-06-03

Family

ID=37792727

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005218226A Active JP4272188B2 (ja) 2005-07-28 2005-07-28 位置測定装置、描画装置及び位置測定方法

Country Status (1)

Country Link
JP (1) JP4272188B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012002731A (ja) * 2010-06-18 2012-01-05 Nuflare Technology Inc 検査装置および検査方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5344947B2 (ja) * 2009-02-16 2013-11-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2010192508A (ja) * 2009-02-16 2010-09-02 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5204697B2 (ja) * 2009-03-19 2013-06-05 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び位置測定方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187235A (ja) * 1997-09-09 1999-03-30 Canon Inc 能動的除振装置
JP3588088B2 (ja) * 2002-04-30 2004-11-10 株式会社東芝 電子ビーム描画装置
JP2004334772A (ja) * 2003-05-12 2004-11-25 Hitachi Ltd 電動機による位置決め制御方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012002731A (ja) * 2010-06-18 2012-01-05 Nuflare Technology Inc 検査装置および検査方法

Also Published As

Publication number Publication date
JP2007033281A (ja) 2007-02-08

Similar Documents

Publication Publication Date Title
KR100755169B1 (ko) 위치 측정 장치, 묘화 장치 및 위치 측정 방법 및 묘화방법
KR100982817B1 (ko) 하전 입자 빔 묘화 장치 및 하전 입자 빔 묘화 방법
US8461555B2 (en) Charged particle beam writing method and charged particle beam writing apparatus
JP2007188950A (ja) 偏向収差補正電圧の演算方法及び荷電粒子ビーム描画方法
JP4272189B2 (ja) 位置測定装置、描画装置及び位置測定方法
JP4272188B2 (ja) 位置測定装置、描画装置及び位置測定方法
US8421040B2 (en) Writing apparatus and writing method
US8133402B2 (en) Pattern forming method, charged particle beam writing apparatus, and recording medium on which program is recorded
JP5204697B2 (ja) 荷電粒子ビーム描画装置及び位置測定方法
KR20190044508A (ko) 하전 입자 빔 묘화 장치 및 하전 입자 빔 묘화 방법
JP7017129B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10345724B2 (en) Position correction method of stage mechanism and charged particle beam lithography apparatus
JP2007048805A (ja) 電子ビーム装置
CN111552150B (zh) 带电粒子束描绘装置及带电粒子束描绘方法
JP2010192508A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5344947B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2008042173A (ja) 荷電粒子ビーム描画方法、荷電粒子ビーム描画装置及びプログラム
JP3914817B2 (ja) 荷電粒子ビーム描画方法
JP4939076B2 (ja) 荷電粒子ビーム描画方法
JP3710422B2 (ja) 近接露光方式電子ビーム露光装置の副偏向器のゲイン較正方法
JP2011066236A (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2006203066A (ja) 荷電粒子線露光装置の制御方法及び荷電粒子線露光装置
JP5350523B2 (ja) 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2010212582A (ja) 荷電粒子ビーム描画装置、荷電粒子ビーム描画方法及び荷電粒子ビームの非点補正方法
JPH02262326A (ja) 荷電ビーム描画方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090203

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090224

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090226

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4272188

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140306

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250