JP3378869B2 - 選択的にエッチングする方法 - Google Patents

選択的にエッチングする方法

Info

Publication number
JP3378869B2
JP3378869B2 JP30583891A JP30583891A JP3378869B2 JP 3378869 B2 JP3378869 B2 JP 3378869B2 JP 30583891 A JP30583891 A JP 30583891A JP 30583891 A JP30583891 A JP 30583891A JP 3378869 B2 JP3378869 B2 JP 3378869B2
Authority
JP
Japan
Prior art keywords
plasma
etch
titanium
layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP30583891A
Other languages
English (en)
Other versions
JPH04274323A (ja
Inventor
エイ.ダグラス モンテ
Original Assignee
テキサス インスツルメンツ インコーポレイテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテツド filed Critical テキサス インスツルメンツ インコーポレイテツド
Publication of JPH04274323A publication Critical patent/JPH04274323A/ja
Application granted granted Critical
Publication of JP3378869B2 publication Critical patent/JP3378869B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】 【0001】 【産業上の利用分野】この発明は全般的に半導体装置、
特に局部的な相互接続部を形成する改良された方法に関
する。 【0002】 【従来の技術及び課題】集積回路で相互接続レベルの数
を増やすことにより、所定の集積回路の表面積内で、配
送能力を高め、一層こじんまりした配置ができ、回路の
性能が一層よくなり、回路の設計の仕方も容易になる。
特に役に立つ接続レベルは普通局部相互接続部と呼ばれ
ており、ここで隣合う拡散区域を互いに、且つ隣合うポ
リシリコン及び金属線に接続する。 【0003】1つの局部相互接続方法が、1987年6
月23日に付与された米国特許第4,675,073号
に記載されている。この特許に記載されている様に、拡
散部及びポリシリコン・ゲートを被覆する珪化チタン
(TiSi2 )を形成する直接反応からの残留チタン化
合物、例えば窒化チタン(TiN)をパタンーンぎめす
ることによって、所望の局部相互接続部が形成される。
窒化チタンは、隣合う領域の間の局部相互接続を施こす
のに役立つ位に導電性である。この特許に記載された方
法は、珪化チタンよりも一層速く望ましくない窒化チタ
ンを除去する為のプラズマ・エッチの反応剤として、四
弗化炭素(CF4 )を用いている。 【0004】改良された局部相互接続方法が、1988
年12月27日に付与された米国特許第4,793,8
96号及び1989年9月5日に付与された同第4,8
63,559号に記載されている。ここでは、エッチャ
ントとして四塩化炭素(CCl4 )を用いるプラズマ・
エッチを利用して、窒化チタンの異方性エッチを行な
う。好ましい方法は、50℃程度の基板温度でエッチを
実施することである。 【0005】現在の技術には幾つかの問題がある。この
組成は、重合化メカニズムにより、選択性を達成する。
しかし、重合の制御は非常に悪く、不安定な方法にな
る。この制御が悪いのは、プラズマ内での物理的な過程
を操作して、反応の進路及び生成物の分布を決定するか
らである。ポリマーのデポジッションが、基板温度、ヘ
リウムの流れ、四塩化炭素の流れ、エネルギ、圧力、反
応器の形、反応器の材料及びその他の因子によって制御
される。因子が多数ある為、反応器にあまり注意を払わ
ないでいると、この方法は変り易い。更に、相互作用の
強いパラメータの数が多く、且つ四塩化炭素ガスを放出
するのが困難である為、この方法の余裕度は非常に小さ
い。 【0006】更に、化学的な類似性の為、TiSi2
りもTiNを一層速くエッチするのが困難である。更
に、エッチ時間と共に、TiN:TiSi2 のエッチ速
度の比が低下する。その上、珪化物に対する選択性を達
成するのに必要なパラメータの範囲が小さく、ハードウ
ェアの形及び変化に影響される為、ドライ・エッチ・プ
ロセスは、反応器内で設定して維持するのが困難であ
る。 【0007】酸化シリコン(フィールド及び側壁)上に
あるチタンが、高温では酸化シリコンと反応して、Ti
Six y で構成されると考えられる界面材料を形成す
る為、別の問題がある。この材料は酸化シリコンと珪化
チタンの間の「混成」であるから、エッチングが困難で
あり、特にポリサイド・ゲートの側壁酸化物に沿って設
けられている場合にそうである。この被膜は、湿式エッ
チによって除去するのが典型的である。しかし、この方
式は多数の欠点がある。珪化物の選択性は、珪化物のシ
ート抵抗を許容できない程高めずに、導電性被膜を除去
するのに適切ではない。更に、約2時間で終ってしまう
ので、湿式エッチの制御も限界的である。更に、湿式エ
ッチはレジストを侵食し、パターンぎめしたTiNを露
出する惧れがあり、TiNのパターンぎめした被膜が酸
化シリコン/TiNの界面でアンダーカットになること
さえある。 【0008】上に述べた制約の他に、もう1つの重要な
問題がある。プラズマを点火した時、ポリマーがウェー
ハ全体の上にデポジットされ、エッチを抑制する。イオ
ン照射と共に、従来好まれている様な高い温度では、ポ
リマーの除去速度が高くなり、この為エッチングが開始
される。しかし、プラズマのエネルギ密度は非常に高
く、典型的には1ワット/cm3 であり、この為エッチ時
間が長くなると共にウェーハ温度が上昇する。その為、
正味のポリマー・デポジッション:除去比が徐々に低下
し、エッチングの後期の間は、エッチングの選択性が低
下する。従って、エッチ速度が時間と共に変化する時、
この方法を制御するのは非常に困難である。その上、エ
ッチ・レジストは高温では「焼け」又は網状になり、側
壁材料を除くのに使われるドライ・エッチ及び湿式エッ
チの間、持上がりが一層起り易くなり、特徴が消滅する
か、又は非常に小さい線幅の臨界的な寸法制御が悪くな
る惧れがある。 【0009】 【課題を解決するための手段及び作用】全般的に云う
と、この発明は第1の材料を第2の材料よりも一層速い
速度でエッチングする方法を提供する。この発明の一形
式では、半導体工作物の上に局部相互接続部を形成する
為にこの発明が利用される。 【0010】この発明は半導体工作物の上にある1つの
材料を別の材料よりも一層速く選択的にエッチングする
方法を提供する。この方法はプラズマ・エッチャを使
う。一例では、エッチングしようとする材料が窒化チタ
ンであり、別の材料は珪化チタン又は酸化シリコンの様
な誘電体である。工作物をプラズマ・エッチャの中に配
置し、多成分反応剤をプラズマ・エッチャの中に流し、
面の上に流す。反応剤は、エッチすべきでない材料と組
合さった時、少なくとも1つの成分が不揮発性の結果を
発生し、少なくとも1つの別の成分が、揮発性の結果を
発生する様に選ぶ。反応剤の例は、塩素を担持する薬
剤、弗素を担持する薬剤、並び/又はハロゲン炭化水素
の様なハロゲン担持薬剤、及び酸素の様な酸化剤、又は
水素の様な還元剤である。その後、ガス混合物を点火し
てプラズマを形成し、前記1つの材料が別の材料よりも
一層速くエッチングされる。 【0011】一実施例では、シリコン表面に局部相互接
続部を形成する方法を説明する。この方法は、表面の選
ばれた場所の上に絶縁層を形成し、その後、絶縁層に隣
接した場所で、シリコン表面と接触させて珪化チタン層
を形成することを含む。チタンを含む材料の相互接続層
を珪化チタン及び絶縁層の上に形成する。次に、フォト
レジストの様な保護マスク材料を相互接続層の特定の部
分の上に形成して、相互接続部を限定する。その後、プ
ラズマ反応器内で、四塩化炭素又は四弗化炭素の様なハ
ロゲン担持薬剤、及び酸素の様な酸化剤又は水素の様な
還元剤を用いて、相互接続層をエッチし、限定された相
互接続を除いて、相互接続層の全部を除去する。 【0012】この発明の利点は、ポリマーのデポジッシ
ョンの制御作用を改善し、プロセスの余裕度並びにエッ
チングの性質に影響を持つ様な方法を提供することであ
る。更に、例えばこのエッチング・プロセスは一層低い
基板温度で実施することができ、この低い温度は時間に
亘って維持することができ、変化しない。その両方がプ
ロセスの余裕度及び安定性を改善する。例えば、エネル
ギを低くするか、並びに/又は圧力を一層高くすること
により、エッチ速度、エッチの選択性並びにフィラメン
トの除去の様なプロセスの特性を改善することができ
る。従来技術に伴う余裕度及び不安定性の問題は、エッ
チ・プロセスを制御するのを非常に困難にしていた。こ
れは、エッチ速度が基板温度と共に変化するからであ
り、この基板温度がプロセスのエネルギ及び圧力の影響
を受けるからである。こう云う物理的な変数の相互作用
により、プロセスの不安定性が一層大きくなった。更
に、基板温度が一層低くなる為に、従来の方法で時とし
て起った様に、エッチ・レジストが変形したり、或いは
網状になる為に応力を発生することがない。この発明並
びにその他の利点が更によく理解される様に、次に図面
について説明する。 【0013】 【実施例】図1は集積回路ウェーハ8に適用したこの発
明の好ましい実施例の方法を利用する最初の工程を示
す。ウェーハ8はシリコンで形成された半導体基板10
を有する。好ましくは二酸化シリコン(SiO 2 )であ
るフィールド酸化物12を、周知の局部酸化(LOCO
S)隔離方法に従って、能動領域を互いに隔離する為
に、基板10の表面の選ばれた部分に成長させ又はデポ
ジットする。勿論、この代わりに、トレンチ隔離の様な
この他の隔離方法を用いてもよい。集積回路装置の能動
トランジスタが基板10の表面の内、フィールド酸化物
12で覆われていない場所に形成される。こう云う場所
が普通はモート領域と呼ばれる。図1では、フィールド
酸化物12の2つの部分の間にあるモート領域に拡散さ
れたソース及びドレイン領域14,16を持つトランジ
スタが示されている。ソース及びドレイン領域14,1
6は、ゲート誘電体20の上にポリシリコン・ゲート電
極18を配置した後、打込んでその後拡散するのが一般
的であり、この為、ソース及びドレイン領域14,16
は、ゲート電極18に対してセルファラインである。ゲ
ート電極18の側面に沿って側壁酸化物フィラメント2
2を用いることにより、図1に示す様な傾斜接合が得ら
れる。更に図1には、集積回路の別の部分に対する相互
接続部として作用し、例えば、別のモート領域(図に示
してない)まで伸びて、トランジスタに対するゲート電
極として作用する、フィールド酸化物12に重なるポリ
シリコン層24を示している。 【0014】この発明のこの実施例では、ソース及びド
レイン領域14,16、及びゲート電極18,24は珪
化チタンの様な耐高温性の金属珪化物で被覆されてい
る。この被覆を施こすには、耐高温金属層をデポジット
し、その後ウェーハ8を加熱して、金属がその下にある
シリコンと直接的に反応して珪化物を形成する様にす
る。この直接反応の条件の例としては、675℃程度の
温度で、ウェーハ8を窒素及びアルゴンの雰囲気内で加
熱する。この代わりに、例えば単一ウェーハ急速熱処理
装置(RTP)を使うことにより、直接反応を達成する
この他の方法を用いてもよい。この処理装置を使う場
合、ウェーハ8が上に述べた直接反応を行なうのに十分
な長さの時間の間、適当な温度に急速に加熱される。 【0015】前に引用した米国特許第4,675,07
3号では、耐高温金属としてチタンが使われているが、
この特許に記載されている様に、この直接反応過程の結
果として、導電性チタン化合物の層が、珪化物領域を含
めたウェーハ8の表面を覆う。図1について説明する
と、ソース領域14、ドレイン領域16及びゲート電極
18,24が、夫々珪化チタン被膜26,28,30,
32で被覆されることが示されている。直接反応が窒素
雰囲気で行なわれる場合は、例えば窒化チタンを含む残
留材料の層34が表面の上に残る。直接反応の前に金属
チタン層の上に酸化物層(図に示してない)を設ける場
合、層34は酸化チタンを含むことがある。層34はフ
ィールド酸化物12の上では100nm程度の厚さを持
ち、珪化物被膜26,28,30,32の上にある場所
ではそれより薄手、例えば、厚さ40nm程度である。記
号によっては、最初の直接反応の後、金属チタンの2回
目のデポジッションを行ない、その後窒素雰囲気内で2
回目の直接反応を行なうことにより、層34の厚さを厚
くすることができる。 【0016】図2には、この発明の好ましい実施例の次
の工程が示されている。層34の上にマスク材料の層が
デポジットされ、図2のパターンぎめしたマスク材料3
6で示す様に、最終的な局部相互接続部の場所にとどま
る様に、普通の方法によってパターンぎめされる。マス
ク材料36は層34の覆われた部分をこの後のエッチン
グから保護するのに役立つ。パターンぎめされたマスク
材料36はフォトレジストであってもよいし、或いは二
酸化シリコンの様なハード・マスク材料であってもよ
い。エッチング・プロセスを開始する前に、米国特許第
4,863,559号に記載されている様に、基板をき
れいにするのが望ましいことがある。 【0017】マスク材料36をパターンぎめした後、ウ
ェーハ8を何れも周知であるプラズマ・モード・エッチ
ャ、反応性イオン・エッチャ又はマイクロ波残光の様な
適当な任意のプラズマ・エッチング装置の中に配置す
る。この工程が図4の流れ図の最初の工程100として
示されている。好ましい実施例では、プラズマ・モード
・エッチャを利用する。プラズマ・モード・エッチャ
は、対称的な平行極板反応器で構成され、典型的には基
板をアースするが、電力を加えた電極に直流バイアスを
典型的には殆ど或いは全くかけず、プラズマ・モードで
作用する。電力を加えた上側極板が黒鉛又はチタンで構
成され、下側極板は裸のアルミニウムで構成することが
できる。極板を約1センチ隔て、約100ワットの電力
を加える。RF発生器によって極板の間に無線周波(R
F)エネルギを伝達する。電力を加えられた電極が、シ
ャワー・ヘッドと同様なガス分散源としても作用する。 【0018】図4について説明すると、流れ図の2番目
の工程102は、エッチャントとして作用する薬剤又は
薬剤の組合せをエッチャの中へ、そして表面の上に流す
ことである。この発明では、こう云う化合物がプラズマ
・モード・エッチャに於けるドライ・エッチ反応剤とし
て使われる。一群のエッチャントはハロゲン担持薬剤で
ある。この反応に酸化剤又は還元剤も含めることができ
る。ハロゲン担持薬剤の例としては、四塩化炭素(CC
4 )、クロロフォルム(CHCl3 )、塩化メチレン
(CH2 Cl2 )、塩化メチル(CH3 Cl)又はトリ
クロロエタン(C2 3 Cl3 )の様な塩素担持薬剤、
又は四弗化炭素(CF4 )の様な弗素担持薬剤、又は三
弗化窒素(NF3 )、三塩化窒素(NCl3 )又は六弗
化硫黄(SF6 )の様なその他の化合物を含めた大部分
のハロカーボン及びハロゲン炭化水素である。理論的に
は、メタン(CH4 )も使うことができる。然し、メタ
ンと酸素を組合せる時に起り得る爆発性反応の為、これ
を避けるのが典型的である。酸化剤は酸素(O2 )、二
酸化窒素(NO2 )又は二酸化炭素(CO2 )であって
よい。還元剤は水素(H2 )、アンモニア(NH3 )、
塩化水素(HCl)又は水(H2 O)であってよい。プ
ラズマの安定性の為、並びにエッチ特性を改善する為、
ヘリウム(He)又は窒素(N2 )の様な不活性ガスも
含めることができる。 【0019】エッチャント種目の濃度の比を調節するこ
とにより、又はエッチャント種目並びにそれから解離し
た生成物が露出される還元又は酸化雰囲気を調節するこ
とによって、塩素と炭素の比を変えることにより、ここ
で説明した化学組成がプラズマの組成を制御する。こう
して、例えばクロロカーボン及びクロロ炭化水素ポリマ
ー被膜を形成する傾向を操作して、他の反応の中でも、
とりわけエッチの選択性を調節することができる。例え
ば、CHCl3/CCl4/O2/He又はCHCl3/O
2/He又はCCl4/O2/He又はCCl4/H2/H
e又はCCl4/HCl/Heと云うエッチャントの組
合せを使って、TiSi2に対する選択性を以てTiN
をエッチする(即ちTiSi2よりTiNのエッチを一
層速くする)ことができる。やはりこれらの組合せ並び
その他の組合せに共通の因子は、所定の還元又は酸化雰
囲気に対し、Cl:C比を調節することによって、重合
を制御することである。この還元又は酸化雰囲気が、例
えばO2又はH2濃度によって制御される。Cl:C比が
4から2に減少すると、重合が強くなる。所定の比で
は、重合はO2の流れが強くなると減少し、H2の流れが
強くなると増加する。例えば、CCl4は、4:1の比
では重合が中位であるが、CHCl3は3:1の比で、
水素の解放を伴って厚いポリマーの被膜を堆積する。 【0020】好ましい実施例では、例えば前に述べた様
に重合度を操作することにより、エッチの性質を決定す
ることができる。これはシアン(CN)及びTiClx
生成物を形成するTiN表面上の反応により、ポリマー
被膜をTiN表面から取去ることができ、或いはその形
成を抑制することができるからである。然し、TiSi
2上では重合が抑制されない。これは、TiとSiの炭
化物はあまり揮発性ではなく、即ち、あるとしても容易
には蒸発せず、従って炭素種目を重合することによっ
て、TiSi2表面から化学的に除去することができな
いからである。こうして、1より大きなTiN:TiS
2エッチ速度比を達成することができる。このモデル
は、弗化チタンに対する塩化チタンの揮発性が一層高い
ことにより、チタン材料をエッチングするのに役立つ。 【0021】一般的に、このプラズマ組成は、塩化物、
弗化物、臭化物及び沃化物の様なハロゲン化物又は水化
物の如き揮発性を有する生成物を持つ多くの材料のエッ
チングに役立つ。この組成は、多数の対の材料の間でエ
ッチ選択性を持つ筈である。その対の一方の材料だけ
が、炭素と反応して、一層の反応エッチングができる様
にするのに十分な揮発性を示す反応生成物を形成する。
1つの例は、二窒化タングステン(WN2 )をシリコン
より一層敏速にエッチすることである。 【0022】エッチングに向けて面を不活性化する炭素
質重合のメガニズムが有効であるが、一方の面がプラズ
マ反応物質を揮発させる薬剤を含み、他方の面が持って
いない場合、単純な生成物によって2種類の材料の間の
エッチ選択性を達成することができる。例えば、NF3
を使って、こう云う元素を持たない面に対する選択性を
持って、窒素又は酸素を含む面をエッチすることができ
る。これは、N2 又はNOが両方とも室温ではガス状で
あるからである。生成物の生成による選択性を持った非
重合性エッチの別の例は、H2 O及びNCl3 である。 【0023】重合の化学的な側面を強調すると共に、イ
オン照射の影響を減少することにより、ポリマーの生成
は、プラズマに対して法線方向及び平行な面に対して一
層向いている。その為、局部相互接続部の好ましい実施
例では、TiSix y で構成されると考えられる導電
性フィラメントが、ドライ・エッチの間、一層除去し易
い。その結果、湿式エッチを必要としない。更に、この
方法のパラメータの余裕度は、プラズマ内の或る物理的
な過程を満足することに限られず、従ってエッチの特性
を改善し、一層広い範囲の変数及び変数の値を使うこと
によって、製造条件に合う様に調節することができる。
この方法は、単に到来材料に対する処理の余裕度を一層
広く取れる様にする改善されたエッチ特性を得られるだ
けでなく、この方法が反応器のハードウェア、例えば、
基板とのウェーハの接触、RF伝導、及び寄生放電及び
静電容量の様に、プラズマの物理的な過程に影響を与え
るものの多少の変化から切離す点でも、従来よりも一層
信頼性がある。 【0024】図4に戻って説明すると、好ましい実施例
の3番目の工程104は、反応に点火することである。
一例として、クロロカーボン・エッチャントを考える。
プラズマを開始するには、プラズマ・ガス中に存在する
クロロカーボンの陰イオンから電子を切離すことが必要
である。中性のクロロカーボン種目は電子が付着する断
面積が大きいから、反応室は、他の原子及び分子種目の
電子衝撃電離のメカニズムによって、プラズマを点火す
るのに決め手となる自由電子が空乏する傾向がある。一
般的に、一旦なだれ状態に達したら、プラズマに点火す
るには、この様な電子衝撃電離が必要である。周知の様
に、電子は、その質量が小さいことによって、RF電界
によって、中性種目を電離する位に付勢することができ
るので、プラズマ点火に於る重要な帯電種目である。 【0025】プラズマを点火するのに十分な自由電子を
発生するこの発明の役に立つ方法は、反応室を光源で照
射することである。この光は180乃至1,200ナノ
メータの範囲内の波長を有する。好ましい実施例では、
水銀/アルゴン光源から出る様なスペクトルの紫外線側
の端の強い放出により、プラズマ内の陰イオンから電子
が光の作用で切離される。こう云う陰イオンは光電形の
切離しに対する断面積が大きい。従って、光源の照射
が、プラズマの点火を容易にすることができる位に、陰
イオンからの光の作用による切離しによって、十分な自
由電子濃度を有効に供給する。補助の源から新しい電子
を導入することにより、又は電子の不足モードの電子エ
ネルギを高める為に、動作エネルギを一時的に増加する
ことによって、他の形で切離される電子に対する自由電
子濃度を補給する別の方法を使って、点火を容易にする
ことができる。 【0026】好ましい実施例の最後の工程はエッチを実
施することである。これが図4に工程106として示さ
れている。エッチング工程は、0℃と云う低い温度又は
それ以下でも行なうことができる。これは従来と比べて
有利である。1つの利点は、この低い温度がマスク材料
36の完全さを保つ助けになることである。 【0027】所望のエッチング工程が完了した後、周知
の様に、フォトレジストに対する溶媒レジスト剥しの様
な適当な方法により、パターンぎめしたマスク材料36
を除去する。マスク材料36を除去した後、構造は略図
3に示す様になる。局部相互接続部38が層34の残り
の部分として露出している。図面に示した例の局部相互
接続部38はドレイン領域16から伸び、そこで珪化物
被膜22と接触し、ゲート電極24の上にある珪化物被
膜32と接触する。局部相互接続部38の様な局部相互
接続部を使って、拡散領域を互いに接触させ、ゲート電
極を互いに接触させ、且つ障壁金属を設け、それに対し
て接点バイアをエッチングして、金属−拡散部並びに金
属−ポリシリコン間の接点を設けることができる。窒化
チタン及び酸化チタンの様に局部相互接続部38として
ここに述べた化合物は、実質的に燐に対する拡散障壁と
して作用し、こうしてN形ドーパントが相互接続部38
を介してP形半導体に外方拡散すると云う問題を持つ燐
でドープされたN形シリコンとP形シリコンの間の接点
を作ることができる。 【0028】次にこの発明の特定の例を述べる。この例
では、上に述べた方法を使って、3,000個の共通ゲ
ート・ストラップで構成されたモート間フィラメント構
造を有するウェーハをエッチした。電流は±0.5ボル
トで測定した。この方法の条件は次の通りである。 四塩化炭素の流れ 105SCCM クロロホルムの流れ 30SCCM 酸素の流れ 5SCCM ヘリウム 30SCCM 電力 100ワット 圧力 600ミリトル 温度 20℃ 【0029】その結果、100%の過剰エッチで0.5
ボルトで測定した電流は次の通りである。 モート間電流 450×10-12 アンペア ポリ−モート間電流 10×10-12 アンペア ここでTiN:TiSi2 比は約10:1と測定され
た。 【0030】若干の好ましい実施例をこれまで詳しく説
明した。この発明の範囲は、上に述べたものと異なる実
施例であっても、その範囲に含むものがあることを承知
されたい。 【0031】以上の説明に関連して更に下記の項を開示
する。 (1) 両方の材料が半導体工作物の表面に設けられてい
る様な第1の材料は第2の材料よりも一層速い速度で選
択的にエッチングする方法に於て、前記表面をプラズマ
・エッチング装置内に配置し、多成分反応剤を該エッチ
ング装置内に流して、少なくとも1つの成分が前記第2
の材料と共に非揮発性の結果を発生し、その間少なくと
も1つの別の成分が第2の材料と共に揮発性の結果を発
生する様にし、反応剤を点火してプラズマを形成し、該
プラズマを用いて前記第1の材料をエッチングする工程
を含む方法。 【0032】(2) (1) 項に記載した方法に於て、反応
剤がハロゲン担持薬剤で構成される方法。 【0033】(3) (2) 項に記載した方法に於て、ハロ
ゲン担持薬剤が塩素担持薬剤で構成される方法。 【0034】(4) (3) 項に記載した方法に於て、塩素
担持薬剤が四塩化炭素である方法。 【0035】(5) (3) 項に記載した方法に於て、塩素
担持薬剤が四塩化炭素及びクロロフォルムの組合せで構
成される方法。 【0036】(6) (2) 項に記載した方法に於て、ハロ
ゲン担持薬剤が弗素担持薬剤で構成される方法。 【0037】(7) (2) 項に記載した方法に於て、酸化
剤をエッチング装置の中並びに表面の上に流す工程を含
む方法。 【0038】(8) (2) 項に記載した方法に於て、還元
剤をエッチング装置の中及び表面の上に流す工程を含む
方法。 【0039】(9) (1) 項に記載した方法に於て、流す
工程が、ヘリウムをプラズマ・エッチの中に流す工程を
含む方法。 【0040】(10) (1) 項に記載した方法に於て、第1
の材料がチタン化合物である方法。 【0041】(11) (1) 項に記載した方法に於て、第1
の材料が二窒化タングステンであり、第2の材料がシリ
コンである方法。 【0042】(12) (1) 項に記載した方法に於て、第1
の材料が窒化チタンであり、第2の材料が珪化チタンで
ある方法。 【0043】(13) (1) 項に記載した方法に於て、第2
の材料が二酸化シリコンである方法。 【0044】(14) (1) 項に記載した方法に於て、第2
の材料が有機樹脂である方法。 【0045】(15) シリコンの表面に局部相互接続部を
形成する方法に於て、表面の選ばれた場所の上に絶縁層
を形成し、該絶縁層に隣接する場所で、シリコン表面と
接触して珪化チタン層を形成し、前記珪化チタン及び絶
縁層の上にチタンで構成される材料の相互接続層を形成
し、該相互接続層の特定の一部分の上に保護マスク材料
を形成して相互接続部を限定し、プラズマ反応器内で、
ハロゲン担持薬剤、及び酸化剤及び還元剤からなる群か
ら選ばれた薬剤を用いて、前記相互接続層をエッチング
して、前記限定された相互接続部を除いて、前記相互接
続層の全てを除去する工程を含む方法。 【0046】(16) (15)項に記載した方法に於て、珪化
チタン層及び相互接続層を形成する工程が、シリコン表
面及び絶縁層の上にチタン層をデポジットし、前記チタ
ン層を前記シリコン表面と反応させて、窒化チタン層で
覆われた珪化チタン層を形成すると共に前記絶縁層の上
に窒化チタン層を形成するのに十分な温度で、前記チタ
ンを窒素及びアルゴン雰囲気内で前記チタンを反応させ
ることを含む方法。 【0047】(17) (15)項に記載した方法に於て、ハロ
ゲン担持薬剤が四塩化炭素である方法。 【0048】(18) (15)項に記載した方法に於て、酸
化剤が酸素である方法。 【0049】(19) (15)項に記載した方法に於て、還元
剤が水素である方法。 【0050】(20) 何れの材料も半導体の表面に設けら
れる第1の材料を第2の材料よりも一層速い速度で選択
的にエッチングする方法を説明した。表面はプラズマ・
エッチャ内に配置される(工程100)。反応物質をエ
ッチャに流れ込ませる(102)。エッチ薬剤は、エッ
チャントと第1の材料の間の反応によって作られた化学
的な生成物が揮発性であって、エッチャントと第2の材
料の間の反応によって作られた化学的な生成物が不揮発
性である様に選ばれる。その後、反応を点火(104)
し、第1の材料をエッチする(104)。一実施例は局
部相互接続部を形成する方法を説明した。
【図面の簡単な説明】 【図1】集積回路工作物を著しく拡大した略図で、局部
相互接続部の実施例に対するエッチングの種々の工程を
示す。 【図2】集積回路工作物を著しく拡大した略図で、局部
相互接続部の実施例に対するエッチングの種々の工程を
示す。 【図3】集積回路工作物を著しく拡大した略図で、局部
相互接続部の実施例に対するエッチングの種々の工程を
示す。 【図4】この発明の方法の流れ図。 【符号の説明】 10 半導体基板 12 フィールド酸化物 26 珪化チタン被膜 28 珪化チタン被膜 30 珪化チタン被膜 32 珪化チタン被膜
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭61−97826(JP,A) 特開 平1−283962(JP,A) 米国特許4793896(US,A) 英国公開3975252(GB,A)

Claims (1)

  1. (57)【特許請求の範囲】 【請求項1】 両方の材料が半導体工作物の表面に設け
    られている様な第1の材料を第2の材料よりも一層速い
    速度で選択的にエッチングする方法に於て、 前記表面をプラズマ・エッチング装置内に配置する工
    程、 多成分反応剤を該エッチング装置内に流して、少なくと
    も1つの成分が前記第2の材料と共に非揮発性の重合
    成物生成し、その間少なくとも1つの別の成分が第1
    の材料と共に揮発性の生成物生成する工程、 同時に、該エッチング装置内に、前記非揮発性の重合
    成物生成を制御する制御試剤を流す工程、 反応剤を点火してプラズマを形成する工程、 該プラズマを用いて前記第1の材料をエッチングする工
    程を含む方法。
JP30583891A 1990-11-21 1991-11-21 選択的にエッチングする方法 Expired - Fee Related JP3378869B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US617123 1984-06-04
US07/617,123 US5122225A (en) 1990-11-21 1990-11-21 Selective etch method

Publications (2)

Publication Number Publication Date
JPH04274323A JPH04274323A (ja) 1992-09-30
JP3378869B2 true JP3378869B2 (ja) 2003-02-17

Family

ID=24472350

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30583891A Expired - Fee Related JP3378869B2 (ja) 1990-11-21 1991-11-21 選択的にエッチングする方法

Country Status (2)

Country Link
US (1) US5122225A (ja)
JP (1) JP3378869B2 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5420071A (en) * 1993-06-30 1995-05-30 Burke; Edmund Methods of forming local interconnections in semiconductor devices
JP3256048B2 (ja) * 1993-09-20 2002-02-12 富士通株式会社 半導体装置及びその製造方法
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5431778A (en) * 1994-02-03 1995-07-11 Motorola, Inc. Dry etch method using non-halocarbon source gases
JP3238820B2 (ja) * 1994-02-18 2001-12-17 富士通株式会社 半導体装置
JPH07263544A (ja) * 1994-03-17 1995-10-13 Fujitsu Ltd 半導体装置及びその製造方法
US5728619A (en) * 1996-03-20 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Selective reactive Ion etch (RIE) method for forming a narrow line-width high aspect ratio via through an integrated circuit layer
KR100198634B1 (ko) * 1996-09-07 1999-06-15 구본준 반도체 소자의 배선구조 및 제조방법
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5925577A (en) * 1997-02-19 1999-07-20 Vlsi Technology, Inc. Method for forming via contact hole in a semiconductor device
US5911114A (en) * 1997-03-21 1999-06-08 National Semiconductor Corporation Method of simultaneous formation of salicide and local interconnects in an integrated circuit structure
DE19728474A1 (de) 1997-07-03 1999-01-07 Siemens Ag Elektrodenanordnung
DE19728472A1 (de) * 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
US6677226B1 (en) * 1998-05-11 2004-01-13 Motorola, Inc. Method for forming an integrated circuit having a bonding pad and a fuse
TW396570B (en) * 1998-07-06 2000-07-01 United Microelectronics Corp Method for forming semiconductor local interconnect
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6878635B1 (en) * 1998-12-02 2005-04-12 National Institute For Materials Science Dry etching
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US7202171B2 (en) * 2001-01-03 2007-04-10 Micron Technology, Inc. Method for forming a contact opening in a semiconductor device
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080303069A1 (en) * 2007-06-11 2008-12-11 International Business Machines Corporation Two step photoresist stripping method sequentially using ion activated and non-ion activated nitrogen containing plasmas
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) * 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8987133B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US8853095B1 (en) 2013-05-30 2014-10-07 International Business Machines Corporation Hybrid hard mask for damascene and dual damascene
KR102062294B1 (ko) 2013-11-19 2020-01-06 삼성디스플레이 주식회사 액정 표시 장치 및 그 제조 방법
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
CN109509836B (zh) 2017-09-14 2022-11-01 联华电子股份有限公司 形成存储器电容的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4821085A (en) * 1985-05-01 1989-04-11 Texas Instruments Incorporated VLSI local interconnect structure
US4675073A (en) * 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
US4957590A (en) * 1988-02-22 1990-09-18 Texas Instruments Incorporated Method for forming local interconnects using selective anisotropy
US4793896C1 (en) * 1988-02-22 2001-10-23 Texas Instruments Inc Method for forming local interconnects using chlorine bearing agents

Also Published As

Publication number Publication date
US5122225A (en) 1992-06-16
JPH04274323A (ja) 1992-09-30

Similar Documents

Publication Publication Date Title
JP3378869B2 (ja) 選択的にエッチングする方法
US4863559A (en) Method for forming local interconnects using chlorine bearing agents
US7291550B2 (en) Method to form a contact hole
US5472564A (en) Method of dry etching with hydrogen bromide or bromide
JP3152428B2 (ja) 選択的異方性を用いて局部相互接続を形成する改良方法
US5286344A (en) Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US4690730A (en) Oxide-capped titanium silicide formation
US6461976B1 (en) Anisotropic etch method
KR20030066673A (ko) 반도체 구조에서 텅스텐 또는 질화 텅스텐 전극 게이트식각 방법
EP0439101B1 (en) Dry etching method
JPH0744175B2 (ja) エッチング方法
US6492068B1 (en) Etching method for production of semiconductor devices
KR930001500B1 (ko) 취화수소 또는 취소로 건식 식각하는 방법
JPH05102107A (ja) 半導体装置の製造方法
KR20030022272A (ko) 반도체 구조에서 텅스텐 또는 텅스텐 질화물 전극게이트를 에칭하는 방법
US6461969B1 (en) Multiple-step plasma etching process for silicon nitride
US6399515B1 (en) Plasma etch method for forming patterned chlorine containing plasma etchable silicon containing layer with enhanced sidewall profile uniformity
US5271799A (en) Anisotropic etch method
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
US6565759B1 (en) Etching process
JP7503650B2 (ja) タングステン含有膜除去のためのシステム及び方法
Sato et al. Control of etching-product-dependent shape and selectivity in gate polysilicon reactive ion etching
KR100259069B1 (ko) 반도체 소자의 다층막 식각방법
JPH06120355A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071206

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081206

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091206

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101206

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees