JP3154128B2 - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP3154128B2
JP3154128B2 JP14815191A JP14815191A JP3154128B2 JP 3154128 B2 JP3154128 B2 JP 3154128B2 JP 14815191 A JP14815191 A JP 14815191A JP 14815191 A JP14815191 A JP 14815191A JP 3154128 B2 JP3154128 B2 JP 3154128B2
Authority
JP
Japan
Prior art keywords
etching
gas
selectivity
silicon
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP14815191A
Other languages
Japanese (ja)
Other versions
JPH04346428A (en
Inventor
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP14815191A priority Critical patent/JP3154128B2/en
Publication of JPH04346428A publication Critical patent/JPH04346428A/en
Application granted granted Critical
Publication of JP3154128B2 publication Critical patent/JP3154128B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
対レジスト選択性および対シリコン下地選択性に優れ、
しかも高速でシリコン下地にダメージを与えないシリコ
ン化合物層のドライエッチング方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the field of manufacturing semiconductor devices and the like, and more particularly, to a method excellent in selectivity with respect to resist and selectivity with respect to silicon underlayer.
In addition, the present invention relates to a dry etching method for a silicon compound layer which does not damage a silicon underlayer at a high speed.

【0002】[0002]

【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコン(SiO2 )に代表されるシリコ
ン化合物層のドライエッチング方法についても技術的要
求がますます厳しくなってきている。まず、高集積化に
よりデバイス・チップの面積が拡大しウェハが大口径化
していること、形成すべきパターンが高度に微細化され
ウェハ面内の均一処理が要求されていること、またAS
ICに代表されるように多品種少量生産が要求されてい
ること等の背景から、ドライエッチング装置の主流は従
来のバッチ式から枚葉式に移行しつつある。この際、従
来と同等の生産性を維持するためには、大幅なエッチン
グ速度の向上が必須となる。また、デバイスの高速化や
微細化を図るために不純物拡散領域の接合深さが浅くな
り、また各種の材料層も薄くなっている状況下では、従
来以上に対下地選択性に優れダメージの少ないエッチン
グ技術が要求される。たとえば、半導体基板内に形成さ
れた不純物拡散領域や、SRAMの抵抗負荷素子として
用いられるPMOSトランジスタのソース・ドレイン領
域等にコンタクトを形成しようとする場合等に、シリコ
ン基板や多結晶シリコン層を下地として行われるSiO
2 層間絶縁膜のエッチングがその例である。さらに、対
レジスト選択比の向上も重要な課題である。これは、サ
ブミクロン・デバイスでは、レジストの後退によるわず
かな寸法変換差の発生も許容されなくなってきているか
らである。しかし、高速性、高選択性、低ダメージ性と
いった特性は互いに取捨選択される関係にあり、すべて
を満足できるエッチング・プロセスを確立することは極
めて困難である。
In recent years the VLSI, with the progress of high integration and performance of semiconductor devices, as seen in ULSI or the like, the dry etching method of the silicon compound layer represented by silicon oxide (SiO 2) Even technical requirements are becoming more stringent. First, the high integration increases the area of the device chip and increases the diameter of the wafer. The pattern to be formed is highly miniaturized, and uniform processing within the wafer surface is required.
Due to the demand for high-mix low-volume production, as typified by ICs, etc., the mainstream of dry etching equipment is shifting from a conventional batch type to a single-wafer type. At this time, in order to maintain the same productivity as that of the related art, it is necessary to greatly improve the etching rate. In addition, under the circumstances where the junction depth of the impurity diffusion region becomes shallower and the thickness of various material layers is reduced in order to increase the speed and miniaturization of the device, the selectivity to the underlayer is superior to that of the prior art and less damage is caused. Etching technology is required. For example, when a contact is to be formed in an impurity diffusion region formed in a semiconductor substrate or a source / drain region of a PMOS transistor used as a resistance load element of an SRAM, a silicon substrate or a polycrystalline silicon layer is used as an underlayer. SiO performed as
An example is the etching of a two- layer insulating film. Further, improvement of the resist selectivity is also an important issue. This is because, in submicron devices, the occurrence of slight dimensional change due to resist receding is no longer allowed. However, the characteristics such as high speed, high selectivity, and low damage are mutually selected, and it is extremely difficult to establish an etching process that satisfies all of them.

【0003】従来、シリコン系材料層に対して高い選択
比を保ちながらSiO2 層に代表されるシリコン化合物
層をドライエッチングするには、CHF3 、CF4 /H
2 混合系、CF4 /O2 混合系、C2 6 /CHF3
合系等がエッチング・ガスとして典型的に使用されてき
た。これらは、いずれもC/F比(分子内の炭素原子数
とフッ素原子数の比)が0.25以上のフルオロカーボ
ン系ガスを主体としている。これらのガス系が使用され
るのは、(a)フルオロカーボン系ガスに含まれるCが
SiO2 層の表面でC−Oを結合を生成し、Si−O結
合を切断したり弱めたりする働きがある、(b)SiO
2 層の主エッチング種であるCFn + (特にn=3) を
生成できる、さらに(c)プラズマ中で相対的に炭素に
富む状態が作り出されるので、SiO2 中の酸素がCO
またはCO2の形で除去される一方、ガス系に含まれる
C,H,F等の寄与によりシリコン系材料層の表面では
炭素系のポリマーが堆積してエッチング速度が低下し、
シリコン系材料層に対する高選択比が得られる、等の理
由にもとづいている。なお、上記のH2 ,O2 等の添加
ガスは選択比の制御を目的として用いられているもので
あり、それぞれF* 発生量を低減もしくは増大させるこ
とができる。つまり、エッチング反応系の見掛け上のC
/F比を制御する効果を有する。
Conventionally, to dry-etch a silicon compound layer typified by a SiO 2 layer while maintaining a high selectivity with respect to a silicon-based material layer, CHF 3 , CF 4 / H
Two- mixed systems, CF 4 / O 2 mixed systems, C 2 F 6 / CHF 3 mixed systems, and the like have been typically used as etching gases. Each of these is mainly composed of a fluorocarbon gas having a C / F ratio (ratio of the number of carbon atoms to the number of fluorine atoms in a molecule) of 0.25 or more. These gas systems are used because (a) C contained in the fluorocarbon-based gas forms a bond of CO on the surface of the SiO 2 layer and cuts or weakens the Si-O bond. Yes, (b) SiO
Oxygen in SiO 2 is reduced to CO 2 because it can produce two layers of main etching species, CF n + (particularly n = 3), and (c) creates a relatively carbon-rich state in the plasma.
Alternatively, while being removed in the form of CO 2 , the carbon-based polymer is deposited on the surface of the silicon-based material layer due to the contribution of C, H, F and the like contained in the gas system, and the etching rate is reduced.
This is based on the reason that a high selectivity with respect to the silicon-based material layer can be obtained. Note that the above-mentioned additive gases such as H 2 and O 2 are used for the purpose of controlling the selectivity, and can reduce or increase the amount of F * generated, respectively. That is, the apparent C of the etching reaction system
It has the effect of controlling the / F ratio.

【0004】これに対し、本願出願人は先に特願平2−
75828号明細書において、炭素数2以上の飽和ない
し不飽和の鎖状高次フルオロカーボン系ガスを使用する
シリコン化合物層のドライエッチング方法を提案してい
る。これは、C2 6 ,C3 8 ,C4 10,C4 8
等の高次フルオロカーボン系ガスを使用することにより
CFn + を効率良く生成させ、エッチングの高速化を図
ったものである。ただし、高次フルオロカーボン系ガス
を単独で使用するのみではF* の生成量も多くなり、対
レジスト選択比および対シリコン下地選択比を十分に大
きくとることができない。たとえばC3 8 をエッチン
グ・ガスとしてシリコン基板上のSiO2 層をエッチン
グした場合、高速性は達成されるものの、対レジスト選
択比が1.3程度と低く、エッチング耐性が不足する
他、パターン・エッジの後退により寸法変換差が発生し
てしまう。また、対シリコン選択比も4.1程度である
ので、オーバーエッチング耐性に問題が残る。そこで、
これらの問題を解決するために上記の先行技術では鎖状
高次フルオロカーボン・ガス単独によるエッチングは下
地が露出する直前で停止し、シリコン化合物層の残余部
をエッチングする際には炭素系ポリマーの堆積を促進す
るためにこのガスにさらにエチレン(C2 4 )等の炭
化水素系ガスを添加するという、2段階エッチングが行
われている。これは、エッチング反応系内にC原子を補
給すると共に、プラズマ中に生成するH* で過剰のF*
を消費してHFに変化させ、見掛け上のC/F比を高め
ることを目的としているのである。
On the other hand, the applicant of the present application has previously filed Japanese Patent Application No. Hei.
No. 75828 proposes a dry etching method for a silicon compound layer using a saturated or unsaturated chain-like higher-order fluorocarbon-based gas having 2 or more carbon atoms. These are C 2 F 6 , C 3 F 8 , C 4 F 10 , C 4 F 8
By using a higher-order fluorocarbon-based gas such as that described above, CF n + is efficiently generated and the etching speed is increased. However, the use of a single high-order fluorocarbon-based gas alone increases the amount of F * generated, and it is not possible to sufficiently increase the selectivity with respect to resist and the selectivity with respect to silicon underlayer. For example, when an SiO 2 layer on a silicon substrate is etched using C 3 F 8 as an etching gas, high speed is achieved, but the selectivity to resist is as low as about 1.3, and etching resistance is insufficient. -A dimension conversion difference occurs due to the retreat of the edge. Further, since the selectivity with respect to silicon is about 4.1, there remains a problem in over-etching resistance. Therefore,
In order to solve these problems, in the above-mentioned prior art, the etching using the chain-like higher-order fluorocarbon gas alone is stopped immediately before the underlayer is exposed, and the carbon-based polymer is deposited when the remaining silicon compound layer is etched. Two-stage etching is performed in which a hydrocarbon-based gas such as ethylene (C 2 H 4 ) is further added to this gas in order to promote the etching. This is due to replenishment of C atoms in the etching reaction system and excess F * generated by H * generated in the plasma .
The purpose of this is to increase the apparent C / F ratio by changing to HF by consuming.

【0005】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。
However, under the current situation where the design rule of a semiconductor device is highly miniaturized, a dimensional conversion difference from an etching mask has become almost unacceptable. However, it is necessary to further improve the selectivity in the first-stage etching. Also, with the further miniaturization in the future, the effect of particle contamination by the carbon-based polymer may become serious, so the amount of deposition gas such as hydrocarbon-based gas used in the second stage etching is also reduced. I want to reduce it as much as possible.

【0006】かかる観点から、本発明者は先に特願平2
−295225号明細書において、被処理基板の温度を
50℃以下に制御した状態で、分子内に少なくとも1個
の不飽和結合を有する鎖状不飽和フルオロカーボン系ガ
スを用いてシリコン化合物層をエッチングする技術を提
案している。上記鎖状不飽和フルオロカーボン系ガス
は、放電解離により理論上は1分子から2個以上のCF
n + を生成するので、SiO2 を高速にエッチングする
ことができる。また、分子内に不飽和結合を有すること
から解離により高活性なラジカルを生成させ易く、炭素
系ポリマーの重合が促進される。しかも、被処理基板の
温度が50℃以下に制御されていることにより、上記炭
素系ポリマーの堆積が促進される。したがって、対レジ
スト選択性および対シリコン下地選択性を向上させるこ
とができる。上記鎖状不飽和フルオロカーボン系ガスと
しては、オクタフルオロブテン(C4 8 )やヘキサフ
ルオロプロペン(C3 6 )等を使用している。また、
同明細書には、鎖状不飽和フルオロカーボン系ガス単独
によるエッチングはシリコン化合物層の途中までで停止
し、残余のエッチングおよびオーバーエッチングを上記
の鎖状不飽和フルオロカーボン系ガスにC2 4 等の炭
化水素系ガスを添加したガスを用いて行う技術も同時に
提案している。これは、下地シリコンに対する選択性を
一層向上させるために、エッチングの中途から堆積性ガ
スを併用しているのである。
From this point of view, the present inventor has previously described Japanese Patent Application No. Hei.
In the specification of JP-295225, a silicon compound layer is etched using a chain unsaturated fluorocarbon-based gas having at least one unsaturated bond in a molecule while controlling the temperature of a substrate to be processed to 50 ° C. or less. Propose technology. The chain unsaturated fluorocarbon-based gas theoretically has two or more CFs from one molecule due to discharge dissociation.
Since n + is generated, SiO 2 can be etched at a high speed. Further, since the compound has an unsaturated bond in the molecule, a highly active radical is easily generated by dissociation, and the polymerization of the carbon-based polymer is promoted. Moreover, since the temperature of the substrate to be processed is controlled to 50 ° C. or less, the deposition of the carbon-based polymer is promoted. Therefore, selectivity with respect to resist and selectivity with respect to silicon underlayer can be improved. Octafluorobutene (C 4 F 8 ), hexafluoropropene (C 3 F 6 ), or the like is used as the chain unsaturated fluorocarbon-based gas. Also,
In the same specification, the etching by the chain unsaturated fluorocarbon-based gas alone is stopped halfway in the silicon compound layer, and the remaining etching and over-etching are performed by adding the above-mentioned chain unsaturated fluorocarbon-based gas to C 2 H 4 or the like. A technique using a gas to which a hydrocarbon-based gas is added has also been proposed at the same time. This is because a deposition gas is used in the middle of etching in order to further improve the selectivity to the underlying silicon.

【0007】さらに別のアプローチとして、本発明者は
先に特願平3−40966号明細書において、被処理基
板の温度を50℃以下に制御した状態で、分子構造の少
なくとも一部に環状部を有する飽和もしくは不飽和のフ
ルオロカーボン系化合物を使用してシリコン化合物層を
エッチングする技術を提案している。これは、たとえば
炭素数の同じ飽和化合物同士で比較した場合、環状化合
物の方が鎖状化合物に比べてF原子数を2個少なくでき
ることを利用して、エッチング反応系のC/F比を増大
させることを意図している。上記明細書中には、環状飽
和フルオロカーボン系化合物としてC3 6 ,C
4 8 ,C5 10等が、また環状不飽和フルオロカーボ
ン系化合物としてC3 4 ,C4 6 ,C5 8 等が例
示されている。
As still another approach, the present inventor has previously disclosed in Japanese Patent Application No. 3-40966 that at least a part of a molecular structure is formed while controlling the temperature of a substrate to be processed to 50 ° C. or less. A technique for etching a silicon compound layer using a saturated or unsaturated fluorocarbon-based compound having This is because, for example, when compared between saturated compounds having the same number of carbon atoms, the C / F ratio of the etching reaction system is increased by utilizing the fact that the number of F atoms in the cyclic compound can be reduced by two compared to the chain compound. It is intended to be. In the above specification, C 3 F 6 , C
4 F 8, C 5 F 10 or the like, also C 3 F 4, C 4 F 6, C 5 F 8 or the like is exemplified as cyclic unsaturated fluorocarbon compounds.

【0008】[0008]

【発明が解決しようとする課題】ところで、従来提案さ
れている鎖状不飽和フルオロカーボン系ガス、もしくは
環状不飽和フルオロカーボン系ガスを使用する技術にお
いては、これまでの説明からも明らかなように、十分な
選択比を得るために実用上はH2 や炭化水素系ガスと併
用することが必要であった。しかし、デザイン・ルール
の微細化と共に、これら添加ガスに含まれる水素の影響
が無視できなくなってきた。たとえば、Applied
Physics Letters 1988年第53
巻18号,1735〜1737ページには、水素プラズ
マによる単結晶シリコンの欠陥誘発が報告されている。
つまり、水素プラズマ中に生成するH+ はイオン半径も
質量も極めて小さいため、シリコン基板へ注入されると
大きな飛程で侵入し、その後のプロセスにおいて結晶欠
陥を誘発する核として作用するのである。また、結晶欠
陥までには至らなくとも、H+ の注入により引き起こさ
れる結晶歪みがコンタクト抵抗の増大につながることが
懸念される。そこで、通常のプロセスでは、シリコン基
板の表層部から数十nmの深さまでライトエッチを行
い、ダメージを生じた層を除去している。
However, in the technology using a chain unsaturated fluorocarbon-based gas or a cyclic unsaturated fluorocarbon-based gas conventionally proposed, as is apparent from the above description, In order to obtain a high selectivity, it was practically necessary to use it in combination with H 2 or a hydrocarbon gas. However, with the miniaturization of design rules, the influence of hydrogen contained in these additional gases cannot be ignored. For example, Applied
Physics Letters 1988 53
Vol. 18, pages 1735 to 1737, reports on the induction of defects in single crystal silicon by hydrogen plasma.
That is, H + generated in the hydrogen plasma has an extremely small ionic radius and mass, so that when implanted into the silicon substrate, H + penetrates with a large range and acts as a nucleus that induces crystal defects in the subsequent process. In addition, there is a concern that the crystal strain caused by the implantation of H + leads to an increase in the contact resistance, even if it does not reach the crystal defect. Therefore, in a normal process, light etching is performed from the surface layer of the silicon substrate to a depth of several tens nm to remove the damaged layer.

【0009】しかし、半導体装置の製造分野では前述の
ように枚葉処理が主流となりつつあり、ドライエッチン
グ装置の型式としても、マグネトロン型やECR(電子
サイクロトロン共鳴)型等のように高密度プラズマによ
り高速エッチングを行うタイプの装置が今後は主流とな
る。このような高密度プラズマ中にウェハが置かれた場
合、炭化水素系ガスから放電解離により生成するH+
シリコン基板へ大きなダメージを与えることは十分に予
測される。また、ダメージを生じた層をライトエッチに
より除去するにしても、不純物拡散領域の接合深さがま
すます浅くなっている現状では、このような後処理によ
るシリコン基板の除去量も軽視できないレベルになって
きている。したがって、プラズマ中にH+ を発生させな
いガス系によりエッチングを行うことが望まれている。
However, as described above, single-wafer processing is becoming the mainstream in the field of manufacturing semiconductor devices, and the type of dry etching equipment is high-density plasma such as magnetron type or ECR (Electron Cyclotron Resonance) type. The type of apparatus that performs high-speed etching will become mainstream in the future. When a wafer is placed in such high-density plasma, it is sufficiently predicted that H + generated by discharge dissociation from a hydrocarbon-based gas will cause a large damage to a silicon substrate. Even if the damaged layer is removed by light etching, the amount of silicon substrate removed by such post-processing cannot be neglected in the current situation where the junction depth of the impurity diffusion region is becoming increasingly shallow. It has become to. Therefore, it is desired to perform etching using a gas system that does not generate H + in plasma.

【0010】この意味で、前述の特願平3−40966
号明細書に提案されている環状フルオロカーボン系化合
物は、添加ガスとしても有望である。それは、環状フル
オロカーボン系化合物が、自身の骨格構造により高いC
/F比を有しており、この添加によりエッチング反応系
の見掛け上のC/F比を増大させる効果を持ち得るから
である。実際、同明細書には、C3 8 のような鎖状飽
和フルオロカーボン系化合物にC4 8 やC4 6 を添
加してSiO2 層間絶縁膜をエッチングして良好な結果
を得た旨が記載されている。
[0010] In this sense, the aforementioned Japanese Patent Application No. Hei.
The cyclic fluorocarbon-based compound proposed in the specification is also promising as an additive gas. This is because the cyclic fluorocarbon-based compound has a higher C
/ F ratio, and this addition can have the effect of increasing the apparent C / F ratio of the etching reaction system. In fact, in the specification, were etched the SiO 2 interlayer insulation film by the addition of C 4 F 8 and C 4 F 6 in chain saturated fluorocarbon compounds such as C 3 F 8 good results Is described.

【0011】しかし、デバイス構造の三次元化に伴って
ウェハの表面段差が増大している現状では、層間絶縁膜
のエッチング等においても100%以上にも及ぶオーバ
ーエッチングが必要とされるケースも生じており、従来
にも増して高選択性が要求されている。また、近年のド
ライエッチングの分野においては、ウェハを0℃以下に
冷却してエッチングを行う、いわゆる低温エッチングが
注目されている。これは、被エッチング材料層の深さ方
向のエッチング速度をイオン・アシスト反応により実用
的なレベルに維持したまま、低温冷却によりラジカル反
応を抑制または凍結して横方向のエッチング速度を低減
させ、低イオン入射エネルギーでも異方性加工を可能と
するものである。エッチング中のウェハ温度は特に冷却
を行わなければプラズマ輻射熱や反応熱により200℃
付近まで上昇するため、ウェハ温度が室温付近であって
も広義の低温エッチングに含める場合がある。低温エッ
チングを行う場合、ウェハ冷却温度をいかに室温域に近
づけるかは、プロセスの実用性やスループットを決定す
る極めて重要な要因である。しかし、従来の技術の大半
は0℃以下の冷却を要するものであり、この点において
もさらなる改善が求められている。そこで本発明は、シ
リコン基板へダメージを惹起させることなく選択性に優
れるシリコン化合物層のエッチングを室温に近い温度領
域で行う方法を提供することを目的とする。
However, under the current situation where the surface step of the wafer is increasing with the three-dimensional device structure, over-etching of 100% or more is required even in the etching of the interlayer insulating film. Therefore, higher selectivity is required than before. In the field of dry etching in recent years, so-called low-temperature etching, in which a wafer is cooled to 0 ° C. or lower to perform etching, has attracted attention. This is because while maintaining the etching rate in the depth direction of the material layer to be etched at a practical level by ion-assisted reaction, the low-temperature cooling suppresses or freezes the radical reaction to reduce the lateral etching rate, It enables anisotropic processing even with ion incident energy. The wafer temperature during the etching is 200 ° C due to plasma radiation heat or reaction heat unless cooling is performed.
Since the temperature rises to the vicinity, even when the wafer temperature is around room temperature, it may be included in the low-temperature etching in a broad sense. When performing low-temperature etching, how to approach the wafer cooling temperature to the room temperature range is a very important factor that determines the practicality and throughput of the process. However, most of the conventional techniques require cooling at 0 ° C. or lower, and further improvement is required in this respect as well. Accordingly, an object of the present invention is to provide a method of etching a silicon compound layer having excellent selectivity in a temperature range close to room temperature without causing damage to a silicon substrate.

【0012】[0012]

【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
であり、被エッチング基板の温度を50℃以下に制御
し、一般式Cx y (ただしx,yは原子数を示す自然
数であり、x≧2,y≦2xの条件を満足する。)で表
される鎖状不飽和フルオロカーボン系化合物と、一般式
p q (ただし、p,qは原子数を示す自然数であ
り、p≧3,q≦2p−2の条件を満足する。)で表さ
れ、分子構造の少なくとも一部に環状部を有する環状不
飽和フルオロカーボン系化合物とを含むエッチング・ガ
スを使用してシリコン化合物層をエッチングすることを
特徴とするものである。
A dry etching method according to the present invention is proposed to achieve the above-mentioned object, and controls the temperature of a substrate to be etched to 50 ° C. or lower and obtains a general formula C x F y (where x and y are natural numbers indicating the number of atoms and satisfy the condition of x ≧ 2, y ≦ 2x), and a general formula C p F q (where, , P and q are natural numbers indicating the number of atoms and satisfy the condition of p ≧ 3, q ≦ 2p-2), and are represented by the following formula: And etching the silicon compound layer using an etching gas containing the following.

【0013】本発明においてエッチング・ガスの一方の
主体をなす鎖状不飽和フルオロカーボン系化合物Cx
y は、C原子数xが2以上のいわゆる高次フルオロカー
ボンである。C原子数xの上限は、上記鎖状化合物を気
化させた状態でエッチング反応系に導入し得る限りにお
いて、特に限定されるものではない。F原子数yはy≦
2xの条件を満足することから、上記鎖状不飽和フルオ
ロカーボン系化合物は分子内に少なくとも1個の不飽和
結合を有する。上記鎖状不飽和フルオロカーボン系化合
物は、鎖状飽和フルオロカーボン系化合物に比べてC/
F比(C原子数とF原子数の比)が高く、また不飽和結
合の開裂により炭素系ポリマーの重合を促進するのに有
利なモノマーを生成することから、相対的に炭素系ポリ
マーを堆積させ易くF* の影響を減じたエッチングを可
能とする。不飽和結合の種類および数は特に限定される
ものではないが、放電解離によりプラズマ中に1分子か
ら2個以上のCFn + が生成でき、かつ炭素系ポリマー
の過度な堆積によりエッチング速度を低下させない範囲
で選択することが望ましい。炭素骨格の構造は特に限定
されるものではなく、直鎖状であっても分枝状であって
も良い。
In the present invention, a chain unsaturated fluorocarbon compound C x F which constitutes one of the main components of the etching gas.
y is a so-called higher-order fluorocarbon having 2 or more C atoms. The upper limit of the number x of C atoms is not particularly limited as long as the chain compound can be introduced into the etching reaction system in a vaporized state. The number of F atoms y is y ≦
Since the 2x condition is satisfied, the above-mentioned chain unsaturated fluorocarbon compound has at least one unsaturated bond in the molecule. The chain unsaturated fluorocarbon compound is higher in C / C than the chain saturated fluorocarbon compound.
Since the F ratio (the ratio of the number of C atoms to the number of F atoms) is high and a monomer that is advantageous for accelerating the polymerization of the carbon-based polymer by cleavage of the unsaturated bond is produced, the carbon-based polymer is relatively deposited. This facilitates etching with reduced influence of F * . Type and number of the unsaturated bond is not particularly limited, discharge dissociated by the plasma 2 or more CF n + can be generated from one molecule, and reduce the etch rate due to excessive deposition of carbonaceous polymer It is desirable to select within a range that is not allowed. The structure of the carbon skeleton is not particularly limited, and may be linear or branched.

【0014】本発明においてエッチング・ガスの他方の
主体をなす環状不飽和フルオロカーボン系化合物は、分
子構造の少なくとも一部に環状部を形成する必要からC
原子数pは3以上である。またF原子数qがq≦2p−
2の条件を満足することから、分子構造内に少なくとも
1個の不飽和結合を有している。C原子数pの上限は、
上記鎖状化合物を気化させた状態でエッチング反応系に
導入でき、かつ製造が技術的に可能でかつ安定に存在し
得る化合物であれば、特に限定されるものではない。不
飽和結合の種類や数も特に限定されるものではないが、
分子内に余り多くの不飽和結合が存在してC/F比が極
端に増大することは好ましくない。たとえば、特公平1
−60938号公報には、炭素6員環に3個の二重結合
を有するヘキサフルオロベンゼン(C6 6 ;C/F比
=1)が単独ではSiO2 のエッチング・ガスを構成し
得ない旨が言及されている。それは、C6 6 がプラズ
マ中に著しく多量のCFn + を発生する結果、炭素系ポ
リマーの重合が過度に促進されてエッチング反応が進行
しないからである。上記公報では、このCFn + の発生
を抑制するために、あらゆるフルオロカーボン系ガスの
中で最もC/F比の低いCF4 を混合し、SiO2 のエ
ッチングを可能としている。なお、上記不飽和フルオロ
カーボン系化合物は、不飽和環にCF3 −のような飽和
鎖が結合しているもの、不飽和環にCF2 =CF−のよ
うな不飽和鎖が結合しているもの、飽和環にCF2 =C
F−のような不飽和鎖が結合しているもの等であっても
良い。
In the present invention, the cyclic unsaturated fluorocarbon compound, which is the other main component of the etching gas, is required to form a cyclic portion in at least a part of its molecular structure.
The number of atoms p is 3 or more. The number of F atoms q is q ≦ 2p−
Since the second condition is satisfied, the compound has at least one unsaturated bond in the molecular structure. The upper limit of the number p of C atoms is
The compound is not particularly limited as long as it is a compound that can be introduced into the etching reaction system in a state where the chain compound is vaporized and that can be technically manufactured and can stably exist. The type and number of unsaturated bonds are not particularly limited, either.
It is not preferable that the C / F ratio is extremely increased due to the presence of too many unsaturated bonds in the molecule. For example, Tokuho 1
Japanese Patent No. 60938 discloses that hexafluorobenzene (C 6 F 6 ; C / F ratio = 1) having three double bonds in a carbon 6-membered ring alone cannot constitute an SiO 2 etching gas. Is mentioned. This is because C 6 F 6 generates a remarkably large amount of CF n + in the plasma, so that the polymerization of the carbon-based polymer is excessively promoted and the etching reaction does not proceed. In the above publication, in order to suppress the generation of CF n + , CF 4 having the lowest C / F ratio among all fluorocarbon-based gases is mixed to enable etching of SiO 2 . The above unsaturated fluorocarbon compounds are those having a saturated chain such as CF 3 — bonded to an unsaturated ring, and those having an unsaturated chain such as CF 2 = CF— bonded to an unsaturated ring. , CF 2 CC in a saturated ring
It may be one having an unsaturated chain such as F- bonded thereto.

【0015】さらに本発明では、エッチング中の被エッ
チング基板の温度を50℃以下に制御する。この温度制
御は室温域で行っても、あるいは一般に低温エッチング
と称される0℃以下の温度域で行っても良いが、いずれ
にしても従来の技術よりは遙かに高温域でエッチングを
行える点が本発明のメリットである。通常、ドライエッ
チングの過程では冷却を特に行わなければ被エッチング
基板の温度は200℃程度にも上昇する。しかし、温度
を50℃以下に制御すれば、蒸気圧の低下により効率良
く炭素系ポリマーを堆積させることができる。
Further, in the present invention, the temperature of the substrate to be etched during the etching is controlled to 50 ° C. or less. This temperature control may be performed in a room temperature range or in a temperature range of 0 ° C. or lower, which is generally called low-temperature etching, but in any case, etching can be performed in a much higher temperature range than the conventional technology. This is an advantage of the present invention. Usually, the temperature of the substrate to be etched rises to about 200 ° C. unless cooling is particularly performed in the process of dry etching. However, if the temperature is controlled to 50 ° C. or lower, the carbon-based polymer can be efficiently deposited by lowering the vapor pressure.

【0016】[0016]

【作用】本発明で使用される鎖状不飽和フルオロカーボ
ン系化合物の1分子からは、理論上は2個以上のCFn
+ が生成する。したがって、同じガス圧下ではCF
3 H,CF2 2 といった従来公知のガスを使用した場
合と比べてプラズマ中におけるCFn + の絶対量が多く
なり、高速エッチングが可能となる。また、放電解離に
よりモノラジカル、あるいは条件によりカルベン等の高
活性なビラジカルが生成し、これらが不飽和結合中のπ
電子系を攻撃することにより炭素系ポリマーの重合が促
進される。
From 1 molecule of chain unsaturated fluorocarbon compounds used in the present invention, theoretically two or more CF n
+ Generates. Therefore, under the same gas pressure, CF
Compared to the case where a conventionally known gas such as 3 H or CF 2 H 2 is used, the absolute amount of CF n + in the plasma is increased, and high-speed etching can be performed. In addition, monoradicals are generated by discharge dissociation, or highly active biradicals such as carbene are generated depending on conditions.
By attacking the electronic system, the polymerization of the carbon-based polymer is promoted.

【0017】しかし、上述のようないわゆる高次フルオ
ロカーボンを使用すれば、当然のことながらプラズマ中
におけるF* の生成量も多くなる。従来は、エッチング
反応系のC/F比を増大させるためにH2 や炭化水素系
ガスを添加していたが、本発明では分子構造の少なくと
も一部に環状部を有する環状不飽和フルオロカーボン系
化合物を添加する。すなわち、H*でF* を捕捉するこ
とによりC/F比を増大させるのではなく、炭素骨格の
異なる化合物を使用することにより同様の効果を得るの
である。このようなことが可能となるのは、上記環状不
飽和フルオロカーボン系化合物のフッ素原子数が、炭素
数と不飽和結合の種類および数が同じ鎖状不飽和フルオ
ロカーボン系化合物に比べて2個以上少ないからであ
る。また、この環状不飽和フルオロカーボン系化合物
は、前述の鎖状不飽和フルオロカーボン系化合物と同様
に炭素系ポリマーの重合を促進する作用を有する。
However, if the above-described so-called higher-order fluorocarbon is used, the amount of F * generated in the plasma naturally increases. Conventionally, H 2 or a hydrocarbon-based gas has been added to increase the C / F ratio of the etching reaction system. However, in the present invention, a cyclic unsaturated fluorocarbon-based compound having a cyclic portion in at least a part of the molecular structure is used. Is added. That is, instead of increasing the C / F ratio by capturing F * with H * , a similar effect is obtained by using a compound having a different carbon skeleton. This is possible because the number of fluorine atoms of the cyclic unsaturated fluorocarbon-based compound is two or more less than that of the chain unsaturated fluorocarbon-based compound having the same type and number of carbon atoms and unsaturated bonds. Because. Further, this cyclic unsaturated fluorocarbon-based compound has an action of accelerating the polymerization of a carbon-based polymer similarly to the above-mentioned chain unsaturated fluorocarbon-based compound.

【0018】上記炭素系ポリマーは、50℃以下に温度
制御された被エッチング基板上に堆積するが、単結晶シ
リコンや多結晶シリコン等のシリコン系材料層の表面や
レジスト・パターンの表面ではイオン衝撃等によっても
容易には除去されない。しかし、SiO2 等のシリコン
化合物層の表面では層内に含まれる酸素がスパッタ・ア
ウトされて炭素系ポリマーの分解に寄与するため、容易
に除去される。したがって、炭素系ポリマーの堆積が増
加すれば、対レジスト選択性および対シリコン下地選択
性が向上する。ただし、本発明ではシリコン化合物層の
エッチング種であるCFn + の絶対量は増加しているの
で、炭素系ポリマーの堆積が促進されても何らエッチン
グ速度が低下することはない。しかも、本発明ではエッ
チング・ガス中にH+ を発生させる化合物が添加されて
いないので、H+ の注入によりシリコン基板の欠陥が発
生する虞れもない。従来は、シリコン基板の表層部に形
成されたダメージ層を除去するためにライトエッチを行
っていたが、本発明によればこれは不要となる。このよ
うに、本発明によれば高下地選択性、高レジスト選択
性、高異方性、高速性等、ドライエッチングに対するあ
らゆる要求を満足しながらシリコン化合物層のエッチン
グを行うことが可能となる。
The above-mentioned carbon-based polymer is deposited on the substrate to be etched whose temperature is controlled to 50 ° C. or less. However, the surface of a silicon-based material layer such as monocrystalline silicon or polycrystalline silicon or the surface of a resist pattern is subjected to ion bombardment. Also, it is not easily removed. However, on the surface of a silicon compound layer such as SiO 2 , oxygen contained in the layer is sputtered out and contributes to the decomposition of the carbon-based polymer, so that it is easily removed. Therefore, as the deposition of the carbon-based polymer increases, the selectivity to resist and the selectivity to silicon underlayer improve. However, in the present invention, since the absolute amount of CF n + , which is an etching species of the silicon compound layer, is increased, the etching rate does not decrease at all even if the deposition of the carbon-based polymer is promoted. In addition, in the present invention, since a compound that generates H + is not added to the etching gas, there is no possibility that a defect of the silicon substrate is caused by the injection of H + . Conventionally, light etching is performed to remove a damaged layer formed on a surface layer portion of a silicon substrate. However, according to the present invention, this is not necessary. As described above, according to the present invention, it is possible to perform etching of a silicon compound layer while satisfying all requirements for dry etching, such as high base selectivity, high resist selectivity, high anisotropy, and high speed.

【0019】[0019]

【実施例】以下、本発明の具体的な実施例について説明
する。なお以下の明細書中では、環状部を有する環状不
飽和フルオロカーボン系化合物を示す場合に、鎖状不飽
和フルオロカーボン系化合物との混同を避けるために組
成式の頭に環状(cyclic)であることを表すc−
を付して表記する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described. In the following description, when a cyclic unsaturated fluorocarbon-based compound having a cyclic portion is indicated, it is assumed that the composition formula has a cyclic head in order to avoid confusion with a chain unsaturated fluorocarbon-based compound. Represents c-
Notation is added.

【0020】実施例1 本実施例は、本発明をコンタクト・ホール加工に適用
し、ヘキサフルオロプロペン(C3 6 )とヘキサフル
オロシクロブテン(c−C4 6 )との混合ガスを用い
てSiO2 層間絶縁膜をエッチングした例である。本実
施例においてエッチング・サンプルとして使用した被エ
ッチング基板(ウェハ)は、予め不純物拡散領域が形成
された単結晶シリコン基板上にSiO2 層間絶縁膜が形
成され、さらに該SiO2 層間絶縁膜のエッチング・マ
スクとしてレジスト・パターンが形成されてなるもので
ある。上記ウェハを、マグネトロンRIE(反応性イオ
ン・エッチング)装置のウェハ載置電極上にセットし
た。ここで、上記ウェハ載置電極は冷却配管を内蔵して
おり、装置外部に接続されるチラー等の冷却設備から該
冷却配管に冷媒を供給して循環させることにより、エッ
チング中のウェハ温度を50℃以下に制御することが可
能となされているものである。ここでは、冷媒としてエ
タノールを使用し、エッチング中のウェハ温度が20℃
に維持されるようにした。この状態で、一例としてC3
6 流量45SCCM,c−C4 6 流量5SCCM,
ガス圧2Pa,RFパワー密度2.0W/cm2 ,磁場
強度150Gaussの条件でエッチングを行った。
Embodiment 1 In this embodiment, the present invention is applied to contact hole processing, and a mixed gas of hexafluoropropene (C 3 F 6 ) and hexafluorocyclobutene (c-C 4 F 6 ) is used. This is an example in which the SiO 2 interlayer insulating film is etched by the following method. In the substrate to be etched (wafer) used as an etching sample in this embodiment, an SiO 2 interlayer insulating film is formed on a single crystal silicon substrate on which impurity diffusion regions have been formed in advance, and the SiO 2 interlayer insulating film is etched. A resist pattern is formed as a mask. The wafer was set on a wafer mounting electrode of a magnetron RIE (reactive ion etching) apparatus. Here, the wafer mounting electrode has a built-in cooling pipe, and by supplying a coolant to the cooling pipe from a cooling facility such as a chiller connected to the outside of the apparatus and circulating the same, the wafer temperature during etching is reduced by 50%. It is possible to control the temperature to below ° C. Here, ethanol is used as a coolant, and the wafer temperature during etching is 20 ° C.
To be maintained. In this state, for example, C 3
F 6 flow rate 45 SCCM, c-C 4 F 6 flow rate 5 SCCM,
Etching was performed under the conditions of a gas pressure of 2 Pa, an RF power density of 2.0 W / cm 2 , and a magnetic field strength of 150 Gauss.

【0021】上述のエッチング過程では、C3 6 およ
びc−C4 6 が放電解離してプラズマ中に大量に生成
するCFn + により、SiO2 層間絶縁膜のエッチング
がイオン・アシスト反応を主体とする機構により高速に
進行した。このとき、レジスト・パターンの表面におい
てはC3 6 およびc−C4 6 に由来して炭素系ポリ
マーが効率良く堆積したが、SiO2 層間絶縁膜の表面
では自身のエッチング除去に伴って炭素系ポリマーも除
去された。その結果、堆積性ガスを添加したりエッチン
グ条件を途中で切り換える等の操作が行われていないに
もかかわらず、良好な異方性形状を有するコンタクト・
ホールが形成された。このプロセスにおける対レジスト
選択比は3.5、対シリコン選択比は約15であった。
上述の対レジスト選択比が得られたことにより、寸法変
換差は従来と比べて大幅に低減された。また、上述のよ
うに高い対シリコン選択比が得られたことにより、50
%ものオーバーエッチングを行っても単結晶シリコン基
板および不純物拡散領域のダメージは最小限に抑制され
た。上記の選択比の各値は、C3 8 /C2 4 混合ガ
スのようにHを含む堆積性ガスが添加されてなるエッチ
ング・ガスを使用した場合の選択比と同等であった。し
かし、本実施例ではプラズマ中にH+ が生成しないた
め、サーマル・ウェーブ法により評価した基板ダメージ
は従来の1/2程度に抑えられていた。また、エッチン
グ後のウェハの断面を透過型電子顕微鏡で観察したとこ
ろ、従来、Hを含有するエッチング・ガスでエッチング
を行った場合に不純物拡散領域の表層部に特徴的にみら
れた結晶歪みが、本実施例では全くみられなかった。
In the above-described etching process, etching of the SiO 2 interlayer insulating film causes an ion-assisted reaction due to CF n + generated in a large amount in the plasma by discharge dissociation of C 3 F 6 and c—C 4 F 6. It proceeded at high speed by the main mechanism. At this time, on the surface of the resist pattern, the carbon-based polymer was efficiently deposited from C 3 F 6 and c-C 4 F 6 , but on the surface of the SiO 2 interlayer insulating film, it was removed by etching itself. The carbon-based polymer was also removed. As a result, even though no operation such as addition of a deposition gas or switching of etching conditions has been performed, a contact having a good anisotropic shape has been obtained.
A hole was formed. The selectivity to resist in this process was 3.5 and the selectivity to silicon was about 15.
By obtaining the above selectivity with respect to resist, the dimensional conversion difference was significantly reduced as compared with the conventional case. In addition, since a high selectivity to silicon was obtained as described above,
%, The damage to the single crystal silicon substrate and the impurity diffusion region was minimized. Each value of the above selectivity was equivalent to the selectivity when using an etching gas to which a deposition gas containing H was added, such as a mixed gas of C 3 F 8 / C 2 H 4 . However, in this embodiment, since H + was not generated in the plasma, the substrate damage evaluated by the thermal wave method was suppressed to about の of the conventional one. Also, when the cross section of the etched wafer was observed with a transmission electron microscope, the crystal distortion characteristically observed in the surface layer of the impurity diffusion region when etching was conventionally performed with an etching gas containing H was performed. However, in this example, it was not observed at all.

【0022】なお、比較のために上述のC3 6 の代わ
りに鎖状飽和フルオロカーボン系化合物であるC3 8
を使用し、同様の放電条件でエッチングを行ったが、同
等の選択比を得るためにはウェハを0℃に冷却する必要
があった。これは、C3 8 が炭素系ポリマーを生成せ
ず、c−C4 6 のみがその形成に関与しているため、
効率良く炭素系ポリマーを堆積させるためにはウェハを
さらに20℃低温化する必要があったからである。
For comparison, C 3 F 8 which is a chain saturated fluorocarbon compound is used in place of C 3 F 6 described above.
, And etching was performed under the same discharge conditions, but the wafer had to be cooled to 0 ° C. in order to obtain the same selectivity. This is because the C 3 F 8 does not produce carbon-based polymer, only c-C 4 F 6 is involved in the formation,
This is because it was necessary to further lower the temperature of the wafer by 20 ° C. in order to efficiently deposit the carbon-based polymer.

【0023】実施例2 本実施例も実施例1と同様、C3 6 とc−C4 6
の混合ガスを用いたSiO2 層間絶縁膜のエッチング例
である。ただし、下地である単結晶シリコン基板に対す
る選択性をより一層向上させるために、ジャスト・エッ
チング工程とオーバーエッチング工程との間でC3 6
とc−C4 6 の流量比を変化させた。本実施例で使用
したウェハは、実施例1で使用したものと同様である。
上記ウェハを、マグネトロンRIE装置にセットし、一
例としてC3 6 流量46SCCM,c−C4 6 流量
4SCCM,ガス圧2Pa,RFパワー密度2.0W/
cm2 ,磁場強度150Gauss,ウェハ温度30℃
の条件でSiO2 層間絶縁膜をまずジャスト・エッチン
グ付近までエッチングした。ここで言うジャスト・エッ
チングとは、ウェハ面内において最初に下地の単結晶シ
リコン基板が露出した状態を指す。
Embodiment 2 This embodiment is also an example of etching an SiO 2 interlayer insulating film using a mixed gas of C 3 F 6 and c—C 4 F 6 , similarly to the first embodiment. However, in order to further improve the selectivity to the single crystal silicon substrate as the base, C 3 F 6 is required between the just etching step and the over etching step.
And changing the flow rate of c-C 4 F 6. The wafer used in this example is the same as that used in Example 1.
The wafer is set in a magnetron RIE apparatus, and as an example, a C 3 F 6 flow rate of 46 SCCM, a c-C 4 F 6 flow rate of 4 SCCM, a gas pressure of 2 Pa, and an RF power density of 2.0 W /
cm 2 , magnetic field strength 150 Gauss, wafer temperature 30 ° C.
Under the conditions described above, the SiO 2 interlayer insulating film was first etched to near the just etching. The term “just etching” as used herein refers to a state in which the underlying single crystal silicon substrate is first exposed in the wafer plane.

【0024】次に、一例としてC3 6 流量30SCC
M,c−C4 6 流量20SCCM,ガス圧2Pa,R
Fパワー密度1.5W/cm2 ,磁場強度150Gau
ss,ウェハ温度30℃の条件でオーバーエッチングを
行った。上述の各工程におけるエッチング反応の進行お
よび炭素系ポリマーの堆積機構は、基本的には実施例1
で上述したとおりである。ただし、オーバーエッチング
工程ではジャスト・エッチングまでの工程に比べてc−
4 6 の流量が大幅に増大されており、相対的にF*
を減少させ、かつ炭素系ポリマーの堆積を促進する条件
が設定されている。しかも、RFパワー密度を下げて入
射イオン・エネルギーを低減させている。この結果、実
施例1よりもさらにウェハ温度が高いにもかかわらず、
十分な高選択性が達成された。
Next, as an example, a C 3 F 6 flow rate of 30 SCC
M, c-C 4 F 6 flow rate 20 SCCM, gas pressure 2 Pa, R
F power density 1.5 W / cm 2 , magnetic field strength 150 Gau
Overetching was performed under the conditions of ss and a wafer temperature of 30 ° C. The progress of the etching reaction and the deposition mechanism of the carbon-based polymer in each of the above-described steps are basically the same as those in Example 1.
Is as described above. However, in the over-etching process, c-
The flow rate of C 4 F 6 has been greatly increased, and relatively F *
Conditions are set to reduce carbon dioxide and promote the deposition of carbon-based polymers. In addition, the RF power density is lowered to reduce the incident ion energy. As a result, despite the higher wafer temperature than in Example 1,
Sufficient high selectivity has been achieved.

【0025】以上、本発明を2つの実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではなく、たとえばエッチング速度の制御を目的と
してエッチング・ガスにO2 を添加したり、あるいはス
パッタリング効果,希釈効果,冷却効果等を期待する意
味でHe,Ar等の希ガスを適宜添加しても良い。さら
に、被エッチング材料層は上述のSiO2 に限られるも
のではなく、PSG,BSG,BPSG,AsSG,A
sPSG,AsBSG,SiN等であっても良い。
Although the present invention has been described based on two embodiments, the present invention is not limited to these embodiments. For example, for the purpose of controlling the etching rate, O 2 is added to the etching gas. A rare gas such as He or Ar may be added as appropriate in order to expect a sputtering effect, a dilution effect, a cooling effect and the like. Further, the material layer to be etched is not limited to the above-mentioned SiO 2 , but may be PSG, BSG, BPSG, AsSG, ASG.
It may be sPSG, AsBSG, SiN or the like.

【0026】[0026]

【発明の効果】以上の説明からも明らかなように、本発
明では鎖状不飽和フルオロカーボン系化合物に環状不飽
和フルオロカーボン系化合物を添加してなるエッチング
・ガスを使用してシリコン化合物層のエッチングを行
う。かかるエッチング・ガスは、CFn + の大量生成に
より高速エッチングを可能とする他、C/F比を増大さ
せて室温付近でも炭素系ポリマーの堆積を促進し、対下
地選択性および対レジスト選択性を向上させる。しか
も、従来の選択性の向上手段とは異なり、プラズマ中に
+ を生成し得る化合物を添加していないので、シリコ
ン基板に欠陥を発生させる虞れがなく、またダメージ層
を除去するためのライトエッチも不要となる。したがっ
て本発明は、微細なデザイン・ルールにもとづいて設計
され、高集積度と高性能を有する半導体装置の製造に極
めて好適である。
As is clear from the above description, in the present invention, the etching of the silicon compound layer is performed by using an etching gas obtained by adding a cyclic unsaturated fluorocarbon compound to a chain unsaturated fluorocarbon compound. Do. Such an etching gas not only enables high-speed etching by generating a large amount of CF n + , but also increases the C / F ratio to promote the deposition of a carbon-based polymer even at around room temperature, and has a selectivity with respect to an underlayer and a resist. Improve. Moreover, unlike the conventional means for improving selectivity, since a compound capable of generating H + is not added to the plasma, there is no danger of generating defects in the silicon substrate, and a method for removing the damaged layer is not required. No need for light etching. Therefore, the present invention is extremely suitable for manufacturing a semiconductor device which is designed based on a fine design rule and has a high degree of integration and high performance.

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/302 ──────────────────────────────────────────────────続 き Continued on front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/3065 H01L 21/302

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 被エッチング基板の温度を50℃以下に
制御し、一般式Cxy (ただしx,yは原子数を示す
自然数であり、x≧2,y≦2xの条件を満足する。)
で表される鎖状不飽和フルオロカーボン系化合物と、一
般式Cp q (ただし、p,qは原子数を示す自然数で
あり、p≧3,q≦2p−2の条件を満足する。)で表
され、分子構造の少なくとも一部に環状部を有する環状
不飽和フルオロカーボン系化合物とを含むエッチング・
ガスを使用してシリコン化合物層をエッチングすること
を特徴とするドライエッチング方法。
1. The temperature of a substrate to be etched is controlled to 50 ° C. or lower, and a general formula C x F y (where x and y are natural numbers indicating the number of atoms and satisfy the conditions of x ≧ 2 and y ≦ 2x) .)
And a chain unsaturated fluorocarbon compound represented by the formula: and a general formula C p F q (where p and q are natural numbers indicating the number of atoms and satisfy the conditions of p ≧ 3, q ≦ 2p−2) And a cyclic unsaturated fluorocarbon-based compound having a cyclic portion in at least a part of its molecular structure.
A dry etching method comprising etching a silicon compound layer using a gas.
JP14815191A 1991-05-24 1991-05-24 Dry etching method Expired - Lifetime JP3154128B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP14815191A JP3154128B2 (en) 1991-05-24 1991-05-24 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14815191A JP3154128B2 (en) 1991-05-24 1991-05-24 Dry etching method

Publications (2)

Publication Number Publication Date
JPH04346428A JPH04346428A (en) 1992-12-02
JP3154128B2 true JP3154128B2 (en) 2001-04-09

Family

ID=15446407

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14815191A Expired - Lifetime JP3154128B2 (en) 1991-05-24 1991-05-24 Dry etching method

Country Status (1)

Country Link
JP (1) JP3154128B2 (en)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
JPH1027781A (en) * 1996-07-10 1998-01-27 Daikin Ind Ltd Etching gas and cleaning gas
JPH10172792A (en) * 1996-12-05 1998-06-26 Tokyo Electron Ltd Plasma processing device
JP3798491B2 (en) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 Dry etching method
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6849193B2 (en) 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP4492764B2 (en) 1999-05-24 2010-06-30 日本ゼオン株式会社 Plasma reaction gas and method for producing the same
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
AU2002222631A1 (en) * 2000-12-21 2002-07-01 Tokyo Electron Limited Etching method for insulating film
US7229930B2 (en) 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7794616B2 (en) 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
JP2006049771A (en) * 2004-08-09 2006-02-16 Tokyo Electron Ltd Etching gas, etching method, and method for estimating etching gas

Also Published As

Publication number Publication date
JPH04346428A (en) 1992-12-02

Similar Documents

Publication Publication Date Title
JP3038950B2 (en) Dry etching method
KR100778260B1 (en) Process for the post etch stripping of photoresist with hydrogen
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
JP3154128B2 (en) Dry etching method
JP3027951B2 (en) Method for manufacturing semiconductor device
KR100255405B1 (en) Dry etching method
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
JP2002520853A (en) Particularly useful for double inlays, dielectric multilayer plasma etch process
JP2008198659A (en) Plasma etching method
JP3351383B2 (en) Method for manufacturing semiconductor device
JP3198538B2 (en) Dry etching method
JP3160961B2 (en) Dry etching method
JP3440735B2 (en) Dry etching method
JP2687787B2 (en) Dry etching method
JP3094470B2 (en) Dry etching method
JPH04170026A (en) Dry etching
JP3208596B2 (en) Dry etching method
JPH06163476A (en) Dry etching method
JP2000150465A (en) Dry etching method
WO2002049089A1 (en) Method of etching porous insulating film, dual damascene process, and semiconductor device
JP3111643B2 (en) Dry etching method
JP3380947B2 (en) Plasma etching method for low dielectric constant silicon oxide based insulating film
JP3116421B2 (en) Dry etching method
JP4500023B2 (en) Interlayer dielectric film dry etching method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20001219

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080202

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090202

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100202

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100202

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110202

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120202

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120202

Year of fee payment: 11