JPH06163476A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JPH06163476A
JPH06163476A JP30910292A JP30910292A JPH06163476A JP H06163476 A JPH06163476 A JP H06163476A JP 30910292 A JP30910292 A JP 30910292A JP 30910292 A JP30910292 A JP 30910292A JP H06163476 A JPH06163476 A JP H06163476A
Authority
JP
Japan
Prior art keywords
etching
wafer
carbon
etching gas
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP30910292A
Other languages
Japanese (ja)
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP30910292A priority Critical patent/JPH06163476A/en
Publication of JPH06163476A publication Critical patent/JPH06163476A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE:To enable a high speed, high selective yet low pollution and low damage dry etching step to be performed while suppressing the mirco-loading effect in the fine hole formation step, etc., by using an etching gas containing a fluorocarbon base compound exceeding two carbon number having carbonyl radical and fluorine stoms. CONSTITUTION:A silicon compound layer is to be etched away using an etching gas containing fluorocarbon base compound exceeding two carbon number having a carbonyl radical and fluorine atoms in molecules. For example, an SiO2 interlayer insulating film 3 is formed on a single crystalline Si substrate 1 whereon an impurity diffused region 2 as a lower layer wiring is formed and then a wafer whereon a resist mask 4 patterned in a specific shape is formed is to be set up on a wafer loading electrode of a magnetron RIE device. Finally, CF3COCF3 (hexafluoroacetone) is used as an etching gas to etch away the SiO2 interlayer insulating film 3 for the formation of a contact hole.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
微細な接続孔(ホール)加工等においてマイクロローデ
ィング効果を抑制しながら高速・高選択エッチングを実
現する方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the field of manufacturing semiconductor devices, etc., and particularly realizes high speed / high selective etching while suppressing the microloading effect in the processing of fine connection holes. On how to do.

【0002】[0002]

【従来の技術】近年のVLSIにみられるように半導体
装置の高集積化および高性能化が進展するに伴い、酸化
シリコン(SiO2 )に代表されるシリコン化合物層の
ドライエッチングに対する技術的要求も厳しさを増して
いる。まず、高集積化によりデバイス・チップの面積が
拡大しウェハが大口径化していること、形成すべきパタ
ーンが高度に微細化されウェハ面内の均一処理が要求さ
れていること、またASICに代表されるように多品種
少量生産が要求されていること等の背景から、ドライエ
ッチング装置の主流は従来のバッチ式から枚葉式に移行
しつつある。この際、従来と同等の生産性を維持するた
めには、ウェハ1枚当たりのエッチング速度を大幅に向
上させなければならない。
2. Description of the Related Art As semiconductor devices have become more highly integrated and have higher performance as seen in VLSI in recent years, there are technical requirements for dry etching of silicon compound layers typified by silicon oxide (SiO 2 ). The severity is increasing. First of all, the area of device / chip is increasing due to high integration, the diameter of the wafer is increasing, the pattern to be formed is highly miniaturized, and uniform processing within the wafer surface is required. As described above, the mainstream of the dry etching apparatus is shifting from the conventional batch type to the single-wafer type because of the demand for high-mix low-volume production. At this time, in order to maintain the same productivity as the conventional one, it is necessary to greatly improve the etching rate per wafer.

【0003】また、デバイスの高速化や微細化を図るた
めに不純物拡散領域の接合深さが浅くなり、また各種の
材料層も薄くなっている状況下では、従来以上に下地選
択性に優れダメージの少ないエッチング技術が要求され
る。たとえば、半導体基板に形成された不純物拡散領域
やSRAMの抵抗負荷素子として用いられるPMOSト
ランジスタのソース・ドレイン領域に臨んで接続孔を開
口する(ホール加工)ために、シリコン基板や多結晶シ
リコン層の上でSiO2 層間絶縁膜のエッチングを行う
場合等が、その例である。
Further, under the condition that the junction depth of the impurity diffusion region is shallow and the various material layers are thin in order to increase the speed and miniaturization of the device, the underlayer selectivity is superior to that in the prior art and damage is prevented. Etching technology with less energy consumption is required. For example, in order to open a connection hole (hole processing) to face an impurity diffusion region formed in a semiconductor substrate and a source / drain region of a PMOS transistor used as a resistance load element of SRAM, a silicon substrate or a polycrystalline silicon layer is formed. An example is when the SiO 2 interlayer insulating film is etched above.

【0004】従来からSiO2 系材料層のエッチング
は、強固なSi−O結合を切断するために、イオン性を
高めたモードで行われている。典型的なエッチング・ガ
スは、CHF3 ,CF4 等であり、これらから生成する
CFx + の入射イオン・エネルギーを使用している。し
かし、高速エッチングを行うためにはこの入射イオン・
エネルギーを高めることが必要であり、エッチング反応
が物理的なスパッタ反応に近くなるため、高速性への要
求と高選択性・低ダメージ性への要求とが常に背反して
いた。
Conventionally, the etching of the SiO 2 type material layer is performed in a mode in which the ionicity is enhanced in order to break the strong Si—O bond. Typical etching gases are CHF 3 , CF 4, etc., which use the incident ion energy of CF x + produced from them. However, in order to perform high-speed etching, this incident ion
Since it is necessary to increase the energy, and the etching reaction is close to the physical sputtering reaction, the requirement for high speed and the requirement for high selectivity and low damage have always been in conflict.

【0005】そこで通常は、エッチング・ガスにH2
堆積性の炭化水素系ガス等を添加してエッチング反応系
の見掛け上のC/F比(炭素原子数とフッ素原子数の
比)を増大させ、エッチング反応と競合して起こる炭素
系ポリマーの堆積を促進することにより高選択性を達成
している。
Therefore, it is usual to add H 2 or a depositing hydrocarbon gas to the etching gas to increase the apparent C / F ratio (ratio of the number of carbon atoms and the number of fluorine atoms) of the etching reaction system. In addition, high selectivity is achieved by promoting the deposition of the carbon-based polymer that competes with the etching reaction.

【0006】これら従来のエッチング・ガスに代わり、
本願出願人は先に特開平3−276626号公報におい
て、炭素数2以上の飽和ないし不飽和の高次鎖状フルオ
ロカーボン系ガスを使用するシリコン化合物層のドライ
エッチング方法を提案している。これは、C2 6 ,C
3 8 ,C4 8 等のフルオロカーボン系ガスを使用す
ることにより1分子から大量のCFx + を効率良く生成
させ、エッチングの高速化を図ったものである。
Instead of these conventional etching gases,
The applicant of the present application has previously proposed in JP-A-3-276626 a method for dry etching a silicon compound layer using a saturated or unsaturated high-order chain fluorocarbon-based gas having 2 or more carbon atoms. This is C 2 F 6 , C
By using a fluorocarbon-based gas such as 3 F 8 or C 4 F 8, a large amount of CF x + is efficiently generated from one molecule, and the etching speed is increased.

【0007】ただし、高次鎖状フルオロカーボン系ガス
を単独で使用するとF* の生成量も多くなり、レジスト
選択比およびシリコン下地選択比を十分に大きくとるこ
とが難しい。そこで上記公報では、高次鎖状フルオロカ
ーボン系ガス単独によるエッチングを下地が露出する直
前で停止し、シリコン化合物層の残余部をエッチングす
る際にはエチレン(C2 4 )等の炭化水素系ガスを添
加するという、2段階エッチングも提案している。これ
は、エッチング反応系のC/F比を高めて炭素系ポリマ
ーの堆積を促進するためである。
However, if a high-order chain fluorocarbon-based gas is used alone, the amount of F * produced also increases, and it is difficult to achieve a sufficiently high resist selectivity and silicon underlayer selectivity. Therefore, in the above publication, the etching using only the higher-order chain fluorocarbon-based gas is stopped immediately before the underlying layer is exposed, and a hydrocarbon-based gas such as ethylene (C 2 H 4 ) is used when the remaining portion of the silicon compound layer is etched. We also propose a two-step etching in which is added. This is to increase the C / F ratio of the etching reaction system to promote the deposition of the carbon-based polymer.

【0008】一方、パーティクル汚染を低減させる観点
からは、炭素系ポリマーの生成量はできるだけ少ない方
が望ましい。炭素系ポリマーの堆積量を抑えながら高選
択エッチングを実現するため、本発明者は先に特開平4
−170026号公報において、オクタフルオロブテン
(C4 8 )やヘキサフルオロプロペン(C3 6
等、分子内に不飽和結合を有する鎖状不飽和フルオロカ
ーボン化合物を用いる方法を開示している。これらのガ
スは、放電解離により高活性なラジカルを生成させ易
く、炭素系ポリマーの重合を促進する。この重合度の高
い炭素系ポリマーが優れたエッチング耐性を示すため、
選択性の確保に必要な堆積量が少なくて済み、堆積性ガ
スを併用する必要もなくなった。
On the other hand, from the viewpoint of reducing particle contamination, it is desirable that the amount of carbon-based polymer produced is as small as possible. In order to realize high selective etching while suppressing the amount of carbon-based polymer deposited, the present inventor has previously described in Japanese Patent Laid-Open No.
In Japanese Patent Publication No. 170026, octafluorobutene (C 4 F 8 ) and hexafluoropropene (C 3 F 6 )
Et al. Disclose a method of using a chain unsaturated fluorocarbon compound having an unsaturated bond in the molecule. These gases easily generate highly active radicals due to discharge dissociation and accelerate the polymerization of the carbon-based polymer. Since this highly polymerized carbon-based polymer exhibits excellent etching resistance,
The amount of deposition required to secure selectivity was small, and it was not necessary to use a deposition gas together.

【0009】さらに、本発明者は先に特開平4−258
177号公報において、分子構造の少なくとも一部に環
状部を有する飽和ないし不飽和フルオロカーボン化合物
を含むエッチング・ガスを用いる技術を提案している。
環状フルオロカーボン化合物は少なくとも炭素数が3以
上であり、しかも炭素数の等しい鎖状フルオロカーボン
化合物と比べてC/F比が高いので、大量のCFx +
よる高速エッチングと、効率良いポリマー生成による高
選択エッチングが可能となった。
Further, the inventor of the present invention previously disclosed in Japanese Patent Laid-Open No. 4-258.
Japanese Patent No. 177 proposes a technique using an etching gas containing a saturated or unsaturated fluorocarbon compound having a ring portion in at least a part of its molecular structure.
Since the cyclic fluorocarbon compound has at least 3 or more carbon atoms and has a higher C / F ratio than a chain fluorocarbon compound having the same carbon number, high-speed etching with a large amount of CF x + and high selection due to efficient polymer formation. Etching is now possible.

【0010】[0010]

【発明が解決しようとする課題】このように、炭素数2
以上の高次フルオロカーボン化合物を用い、かつこの化
合物の構造を選択することにより、シリコン化合物層の
高速・高選択エッチングを基本的に単独組成のエッチン
グ・ガスで行うことが可能となった。しかしながら、近
年の微細なデザイン・ルールの下では、上述の技術をホ
ール加工に適用した場合に、マイクロローディング効果
が顕在化され易くなることが明らかとなってきた。
As described above, the number of carbon atoms is 2
By using the above high-order fluorocarbon compound and selecting the structure of this compound, it has become possible to perform high-speed and high-selective etching of the silicon compound layer basically with an etching gas having a single composition. However, under the recent fine design rules, it has become clear that the microloading effect is likely to be actualized when the above-mentioned technique is applied to hole processing.

【0011】16MDRAMクラスの集積度を有する半
導体装置では、ホール加工時の被エッチング面積がウェ
ハ面積の5%にも満たなくなっている。一般にSiO2
系材料層のエッチングでは、入射イオンにスパッタアウ
トされたO原子が炭素系ポリマーの一部を燃焼除去する
ことで、選択性の確保に必要な炭素系ポリマーの堆積量
が実用的なエッチング速度を損なわない程度に適度に維
持されている。しかし、上述のように被エッチング面積
が少なくなると、スパッタアウトされるO原子が極端に
少なくなる。しかも、アスペクト比の大きなホールの底
部では、イオンの入射確率も減少する。これらの理由に
より、ホールの内部では炭素系ポリマーの堆積が過剰に
なり、エッチング速度が著しく低下してしまうのであ
る。これが、マイクロローディング効果であり、枚葉式
エッチングが主流となる今後の半導体装置の製造分野で
は、生産性の低下に直接悪影響を及ぼす要因となる。
In a semiconductor device having a degree of integration of 16 MDRAM class, the area to be etched during hole processing is less than 5% of the wafer area. Generally SiO 2
In the etching of the system material layer, the O atom sputtered out to the incident ions burns and removes a part of the carbon system polymer, so that the deposition amount of the carbon system polymer required to secure the selectivity is a practical etching rate. It is maintained moderately so as not to damage it. However, as described above, when the area to be etched becomes small, the number of O atoms sputtered out becomes extremely small. Moreover, at the bottom of the hole with a large aspect ratio, the probability of ion incidence also decreases. For these reasons, the carbon-based polymer is excessively deposited inside the hole, and the etching rate is significantly reduced. This is the microloading effect, and in the future field of semiconductor device manufacturing, in which single-wafer etching becomes the mainstream, it becomes a factor that directly affects the decrease in productivity.

【0012】そこで本発明は、微細なホール加工等にお
いてもマイクロローディング効果を抑制しながら高速、
高選択、低汚染、低ダメージ・エッチングを可能とする
ドライエッチング方法を提供することを目的とする。
Therefore, the present invention provides a high-speed operation while suppressing the microloading effect even in the processing of fine holes.
It is an object of the present invention to provide a dry etching method which enables high selection, low pollution, and low damage etching.

【0013】[0013]

【課題を解決するための手段】本発明のシリコン化合物
層のドライエッチング方法は、上述の目的を達成するた
めに提案されるものであり、分子内にカルボニル基とフ
ッ素原子とを有する炭素数2以上のフルオロカーボン系
化合物を含むエッチング・ガスを用いるものである。
The dry etching method for a silicon compound layer of the present invention is proposed to achieve the above-mentioned object, and has 2 carbon atoms having a carbonyl group and a fluorine atom in the molecule. An etching gas containing the above fluorocarbon compound is used.

【0014】本発明はまた、前記エッチング・ガスが一
酸化炭素を含むものである。
According to the present invention, the etching gas contains carbon monoxide.

【0015】本発明はさらに、前記エッチング・ガスが
放電解離条件下で遊離のイオウを放出するイオウ系化合
物を含むものである。
The present invention further includes the etching gas containing a sulfur-based compound which releases free sulfur under discharge dissociation conditions.

【0016】[0016]

【作用】本発明では、エッチング・ガスの構成成分とし
て、分子内にカルボニル基とフッ素原子とを有する炭素
数2以上のフルオロカーボン系化合物を用いる。このフ
ルオロカーボン系化合物は、(a)F* ,CFx + を供
給できること、(b)カルボニル基の供給により自身の
分解生成物およびレジスト・マスクの分解生成物に由来
する炭素系ポリマーを強化できること、(c)CO*
供給によりエッチングを高速化できること、(d)O*
の供給により過剰な炭素系ポリマーの堆積を抑制できる
こと、の4つの効果を、基本的に単独組成により達成す
ることができる。これらの効果について順次説明する。
In the present invention, a fluorocarbon compound having 2 or more carbon atoms having a carbonyl group and a fluorine atom in the molecule is used as a constituent of the etching gas. This fluorocarbon-based compound can supply (a) F * and CF x + , and (b) can supply a carbonyl group to strengthen carbon-based polymers derived from its decomposition products and the decomposition products of a resist mask. (C) The speed of etching can be increased by supplying CO * , (d) O *
The effect of suppressing excessive carbon-based polymer deposition can be achieved by basically supplying a single composition. These effects will be sequentially described.

【0017】まず、上記フルオロカーボン系化合物の構
成元素は、C,F,Oである。したがって、上記(a)
の項で述べたように、この化合物は放電解離条件下でF
* を放出することができる。F* ,CFx + は、言うま
でもなくSiO2 系材料層に代表されるシリコン化合物
層の主エッチング種として寄与する。
First, the constituent elements of the fluorocarbon compound are C, F and O. Therefore, the above (a)
As described in the above section, this compound is
Can emit * . Needless to say, F * and CF x + contribute as the main etching species of the silicon compound layer typified by the SiO 2 -based material layer.

【0018】(b)の項で述べた炭素系ポリマーの強化
については、重合度の増大と化学結合の強化の両方の側
面から可能である。上記フルオロカーボン系化合物は、
分子中にカルボニル基を有しているが、このカルボニル
基の分極構造が炭素系ポリマーの重合反応を促進し、イ
オン入射やラジカルの攻撃に対する耐性を高める働きを
する。また、炭素系ポリマーにC−O結合やカルボニル
基が導入されると、単に−CX2 −(Xはハロゲン原子
を表す。)の繰り返し構造からなる従来の炭素系ポリマ
ーよりも化学的,物理的安定性が増すことも、近年の研
究により明らかとなっている。これは、2原子間の結合
エネルギーを比較すると、C−O結合(1077kJ/
mol)がC−C結合(607kJ/mol)より遙か
に大きいことからも直観的に理解される。さらに、カル
ボニル基の導入により炭素系ポリマーの極性が増大し、
負に帯電しているエッチング中のウェハに対してその静
電吸着力が高まることによっても、炭素系ポリマーの表
面保護効果は向上する。
The carbon-based polymer described in the item (b) can be strengthened from both aspects of increasing the degree of polymerization and strengthening the chemical bond. The fluorocarbon compound is
Although it has a carbonyl group in the molecule, the polarization structure of this carbonyl group promotes the polymerization reaction of the carbon-based polymer and functions to increase the resistance to ion injection and radical attack. Further, when a C—O bond or a carbonyl group is introduced into a carbon-based polymer, it is more chemically and physically than a conventional carbon-based polymer having a repeating structure of —CX 2 — (X represents a halogen atom). Recent studies have also revealed increased stability. When comparing the binding energies between two atoms, this is the C—O bond (1077 kJ /
It is also intuitively understood that (mol) is much larger than the C—C bond (607 kJ / mol). Furthermore, the introduction of a carbonyl group increases the polarity of the carbon-based polymer,
The surface protection effect of the carbon-based polymer is also improved by increasing the electrostatic adsorption force with respect to the wafer being etched that is negatively charged.

【0019】このように、炭素系ポリマー自身の膜質が
強化されることは、選択性の確保に必要な炭素系ポリマ
ーの堆積量を低減できることを意味しており、結果とし
てプロセスの低汚染化を実現することができる。
As described above, the enhancement of the film quality of the carbon-based polymer itself means that the deposition amount of the carbon-based polymer necessary for securing the selectivity can be reduced, and as a result, the reduction of process pollution can be achieved. Can be realized.

【0020】また、上記フルオロカーボン系化合物は、
放電解離条件下でCO* を生成することができる。この
ラジカルは強い還元作用を有しており、SiO2 中のO
原子を引き抜くことができる。つまり本発明ではSi−
O結合の切断を、CFx + による物理的なイオン・スパ
ッタ作用のみに頼るのではなく、化学的な作用も利用し
て行うことができる。この結果、上記(c)の項に述べ
たようなエッチングの増速が可能となる。このことは、
C−O結合の原子間結合エネルギーがSi−O結合(4
65kJ/mol)より大きいことからも理解できる。
O原子が引き抜かれた後のSi原子は、エッチング反応
系に存在するF* と結合し、SiFx の形で速やかに除
去される。
Further, the fluorocarbon compound is
CO * can be produced under discharge dissociation conditions. This radical has a strong reducing action, and O in SiO 2
Can pull out atoms. That is, in the present invention, Si-
The breaking of the O bond can be performed by utilizing not only the physical ion sputtering action of CF x + but also the chemical action. As a result, the etching speed can be increased as described in the item (c). This is
The interatomic bond energy of the C—O bond is Si—O bond (4
It can also be understood from the fact that it is larger than 65 kJ / mol).
The Si atoms after the O atoms are extracted are combined with F * existing in the etching reaction system, and are quickly removed in the form of SiF x .

【0021】このようにエッチングが高速化されること
により、実用的なエッチング速度を得るために必要な入
射イオン・エネルギーを減ずることができ、優れた高選
択性と低ダメージ性とが達成される。また、下地選択性
を向上させるための手法として、従来からエッチング・
プロセスをジャストエッチングとオーバーエッチングの
2段階に分けることがしばしば行われているが、本発明
によれば基本的には1段階エッチングでも十分に高い選
択性が達成される。
By thus speeding up the etching, incident ion energy required to obtain a practical etching rate can be reduced, and excellent high selectivity and low damage can be achieved. . In addition, as a method for improving the underlayer selectivity, etching
Although the process is often divided into just etching and over etching, the present invention basically achieves sufficiently high selectivity even in one-step etching.

【0022】さらに、上記フルオロカーボン系化合物
は、放電解離条件下でO* も生成することができる。こ
のO* は、炭素系ポリマーの燃焼に寄与する化学種であ
る。前述したように、近年の微細化されたデザイン・ル
ールの下ではSiO2 系材料層からスパッタアウトされ
るO* が極端に減少するが、本発明ではこのO* を気相
中から補うことができるので、(d)の項で述べたよう
に炭素系ポリマーの過剰な堆積が防止され、この結果、
マイクロローディング効果を抑制してエッチングを高速
化することができる。
Furthermore, the fluorocarbon compound can also generate O * under discharge dissociation conditions. This O * is a chemical species that contributes to the combustion of the carbon-based polymer. As described above, under the recent miniaturized design rule, the O * sputtered out from the SiO 2 -based material layer is extremely reduced, but in the present invention, this O * can be supplemented from the gas phase. Therefore, excessive deposition of the carbon-based polymer is prevented as described in the section (d), and as a result,
The microloading effect can be suppressed and the etching speed can be increased.

【0023】以上が、本発明の基本的な考え方である。
本発明ではこれに加えて、さらに高速性、高選択性、低
ダメージ性、低汚染性を精密に制御する技術も提案す
る。そのひとつは、エッチング・ガスに一酸化炭素を添
加することである。これは、気相中のCO* 生成量を増
加させることによりSiO2 中のO原子の引き抜き反応
を促進し、エッチングを高速化させることを意図したも
のである。これにより、選択性、低ダメージ性が一層改
善される。
The above is the basic idea of the present invention.
In addition to this, the present invention also proposes a technique for precisely controlling high speed, high selectivity, low damage and low contamination. One is to add carbon monoxide to the etching gas. This is intended to accelerate the etching rate by increasing the amount of CO * produced in the gas phase to accelerate the abstraction reaction of O atoms in SiO 2 . Thereby, the selectivity and the low damage property are further improved.

【0024】いまひとつは、ウェハの表面保護に寄与す
る炭素系ポリマーの一部を、パーティクル汚染源となる
虞れのない他の物質で代替することである。具体的に
は、放電解離条件下で遊離のイオウ(S)を放出するイ
オウ系化合物をエッチング・ガスに添加する。生成した
Sは、昇華温度より低温域に維持されたウェハに吸着
し、レジスト・マスクの表面や下地のシリコン系材料層
の露出面等において表面保護効果を発揮する。これは、
これらの表面においてSの堆積過程とスパッタ除去過程
とが競合するからである。しかし、SはSiO2 のエッ
チングを大きく減速させることはない。これは、SiO
2 の被エッチング面からスパッタアウトされるO原子に
より、Sが直ちに燃焼除去されるからである。しかもS
は、エッチング終了後にレジスト・マスクの除去を目的
とした通常のO2 プラズマ・アッシングを行えば、同時
に燃焼除去することができる。あるいは、ウェハをおお
よそ90℃以上に加熱するだけでも昇華除去することが
できる。いずれにしても、Sがパーティクル汚染源とな
る虞れはない。
Another is to replace a part of the carbon-based polymer which contributes to the surface protection of the wafer with another substance which is not likely to become a particle contamination source. Specifically, a sulfur-based compound that releases free sulfur (S) under discharge dissociation conditions is added to the etching gas. The generated S is adsorbed to the wafer maintained at a temperature lower than the sublimation temperature, and exerts a surface protection effect on the surface of the resist mask, the exposed surface of the underlying silicon-based material layer, and the like. this is,
This is because the S deposition process and the sputter removal process compete on these surfaces. However, S does not significantly slow down the etching of SiO 2 . This is SiO
This is because S is immediately burned and removed by O atoms sputtered out from the surface 2 to be etched. Moreover, S
Can be burned and removed at the same time by performing ordinary O 2 plasma ashing for the purpose of removing the resist mask after the etching. Alternatively, sublimation can be removed by simply heating the wafer to approximately 90 ° C. or higher. In any case, there is no possibility that S will become a particle contamination source.

【0025】また、かかるSの堆積を利用すれば、選択
性の確保に必要な炭素系ポリマーの堆積量を相対的に減
少させることができるため、パーティクル汚染を極めて
効果的に抑制することが可能となる。
Further, by utilizing the deposition of S, it is possible to relatively reduce the deposition amount of the carbon-based polymer required to secure the selectivity, so that it is possible to suppress the particle contamination extremely effectively. Becomes

【0026】[0026]

【実施例】以下、本発明の具体的な実施例について説明
する。
EXAMPLES Specific examples of the present invention will be described below.

【0027】実施例1 本実施例は、本発明をコンタクト・ホール加工に適用
し、CF3 COCF3 (ヘキサフルオロアセトン;沸点
−27.4℃)を用いてSiO2 層間絶縁膜をエッチン
グした例である。このプロセスを、図1を参照しながら
説明する。本実施例においてサンプルとして使用したウ
ェハは、図1(a)に示されるように、予め下層配線と
しての不純物拡散領域2が形成された単結晶Si基板1
上にSiO2 層間絶縁膜3が形成され、さらにこの上に
所定の形状にパターニングされたレジスト・マスク4が
形成されてなるものである。上記レジスト・マスク4に
は、開口径約0.35μmの開口部4aが形成されてい
る。
Example 1 In this example, the present invention is applied to the processing of contact holes, and the SiO 2 interlayer insulating film is etched using CF 3 COCF 3 (hexafluoroacetone; boiling point-27.4 ° C.). Is. This process will be described with reference to FIG. As shown in FIG. 1A, the wafer used as a sample in this example is a single crystal Si substrate 1 in which an impurity diffusion region 2 as a lower layer wiring is formed in advance.
An SiO 2 interlayer insulating film 3 is formed on top of this, and a resist mask 4 patterned into a predetermined shape is formed on top of this. The resist mask 4 has an opening 4a having an opening diameter of about 0.35 μm.

【0028】上記ウェハを、マグネトロンRIE(反応
性イオン・エッチング)装置のウェハ載置電極上にセッ
トした。ここで、上記ウェハ載置電極は冷却配管を内蔵
しており、装置外部に接続されるチラー等の冷却設備か
ら該冷却配管に冷媒を供給して循環させることにより、
エッチング中のウェハ温度を室温以下に制御することが
可能となされている。一例として、下記の条件でSiO
2 層間絶縁膜3のエッチングを行った。
The above wafer was set on the wafer mounting electrode of a magnetron RIE (reactive ion etching) apparatus. Here, the wafer mounting electrode has a built-in cooling pipe, by supplying a refrigerant to the cooling pipe from a cooling equipment such as a chiller connected to the outside of the apparatus to circulate the cooling pipe,
It is possible to control the wafer temperature during etching to be room temperature or lower. As an example, SiO under the following conditions
2 The interlayer insulating film 3 was etched.

【0029】 CF3 COCF3 流量 50 SCCM ガス圧 2.0 Pa RFパワー密度 2.0 W/cm2 (1
3.56 MHz) 磁場強度 1.50×10-2 T(=150
G) ウェハ温度 −30 ℃(アルコール系
冷媒使用)
CF 3 COCF 3 flow rate 50 SCCM gas pressure 2.0 Pa RF power density 2.0 W / cm 2 (1
3.56 MHz) Magnetic field strength 1.50 × 10 -2 T (= 150
G) Wafer temperature -30 ° C (using alcohol refrigerant)

【0030】このエッチング過程では、CF3 COCF
3 からF* ,CFx + ,CO* ,O * 等の化学種が生成
する。F* はSiO2 層間絶縁膜3からのSi原子の引
き抜き、CO* は同じくO原子の引き抜きにそれぞれ関
与し、CFx + はこれらのラジカル反応をその入射イオ
ン・エネルギーを与えることによりアシストした。上記
エッチング過程では高選択性が達成されるが、これはカ
ルボニル基やC−O結合を取り込んだ強固な炭素系ポリ
マーが生成し、これによりレジスト・マスク4の表面や
不純物拡散領域2の露出面が保護されること、およびウ
ェハが低温冷却されているためにレジスト・マスク4や
単結晶Si基板1のように主としてラジカル反応により
エッチングされる材料層のエッチング速度が相対的に低
下したこと、等の理由によるものである。
In this etching process, CF3COCF
3To F*, CFx +, CO*, O *Chemical species such as
To do. F*Is SiO2Extraction of Si atoms from the interlayer insulating film 3
Perforated, CO*Are also related to the extraction of O atoms.
Give CFx +Reacts these radical reactions to their incident ions.
Assisted by giving energy. the above
High selectivity is achieved in the etching process, which is
A strong carbon-based poly that incorporates a carbonyl group and CO bond
Generated by the mer, which causes the surface of the resist mask 4 and
The exposed surface of the impurity diffusion region 2 is protected, and
The resist mask 4 and
As in the single crystal Si substrate 1, mainly by radical reaction
The etching rate of the material layer being etched is relatively low
This is due to reasons such as what was done.

【0031】ただし、上記炭素系ポリマーの生成量はそ
れ程多くはない。これは、CO* の寄与により高速エッ
チングに必要な入射イオン・エネルギーが少なくて済む
ため、RFパワー密度が低く設定されレジスト・マスク
4のスパッタリングが抑制されていること、および気相
中から供給されるO* により炭素系ポリマーの一部が燃
焼除去されること、等の理由による。したがって、マイ
クロローディング効果を抑制することができた。さら
に、かかる炭素系ポリマーの低減によりパーティクル・
レベルが従来よりも大幅に改善された他、エッチング・
チャンバのクリーニングに必要なメンテナンスの頻度を
低減することができ、生産性も著しく向上した。
However, the amount of the carbon-based polymer produced is not so large. This is because the incident ion energy required for high-speed etching is small due to the contribution of CO * , so that the RF power density is set low and the sputtering of the resist mask 4 is suppressed, and the gas is supplied from the gas phase. This is because a part of the carbon-based polymer is burned and removed by the O * . Therefore, the microloading effect could be suppressed. In addition, the reduction of such carbon-based polymer reduces particle
In addition to the level being greatly improved compared to the past, etching
The frequency of maintenance required for cleaning the chamber can be reduced, and productivity is significantly improved.

【0032】なお、本プロセスにおけるSiO2 層間絶
縁膜3のエッチング速度は約850nm/分、対レジス
ト選択比は約7、対Si選択比は約25と良好であっ
た。また、オーバーエッチング後でもレジスト・マスク
4の後退や浅い接合の破壊等は認められなかった。
In this process, the etching rate of the SiO 2 interlayer insulating film 3 was about 850 nm / min, the selection ratio to resist was about 7, and the selection ratio to Si was about 25. Further, even after the over-etching, the receding of the resist mask 4 and the destruction of the shallow junction were not observed.

【0033】実施例2 本実施例では、同じコンタクト・ホール加工をCF3
OCF3 /CO混合ガスを用いて行った。すなわち、図
1(a)に示したウェハをマグネトロンRIE装置にセ
ットし、一例として下記の条件でSiO2 層間絶縁膜3
をエッチングした。
Embodiment 2 In this embodiment, the same contact hole processing is performed with CF 3 C.
It was performed using an OCF 3 / CO mixed gas. That is, the wafer shown in FIG. 1A is set in a magnetron RIE apparatus, and as an example, the SiO 2 interlayer insulating film 3 is formed under the following conditions.
Was etched.

【0034】 CF3 COCF3 流量 35 SCCM CO流量 35 SCCM ガス圧 2.0 Pa RFパワー密度 1.5 W/cm2 (1
3.56 MHz) 磁場強度 1.50×10-2 T(=150
G) ウェハ温度 0 ℃(アルコール系
冷媒使用)
CF 3 COCF 3 flow rate 35 SCCM CO flow rate 35 SCCM gas pressure 2.0 Pa RF power density 1.5 W / cm 2 (1
3.56 MHz) Magnetic field strength 1.50 × 10 -2 T (= 150
G) Wafer temperature 0 ° C (using alcohol refrigerant)

【0035】本実施例におけるエッチング機構は、ほぼ
実施例1で上述したとおりである。ただし、本実施例で
はCO* の生成量が増大していることから、SiO2
間絶縁膜3からのO原子の引き抜き反応が促進され、実
施例1よりもRFパワー密度を低下させたにもかかわら
ず、ほぼ同等の高速エッチングが進行した。また、この
ようにして入射イオン・エネルギーが低減されることに
より、実施例1ほどウェハを低温冷却していないにもか
かわらず、レジスト・マスク4や単結晶Si基板1(正
確には不純物拡散領域2)に対して十分な選択性を確保
することができた。
The etching mechanism in this embodiment is almost the same as that described in the first embodiment. However, in this example, since the amount of CO * produced was increased, the reaction of extracting O atoms from the SiO 2 interlayer insulating film 3 was promoted, and the RF power density was lowered as compared with Example 1. Nevertheless, almost the same high speed etching proceeded. In addition, since the incident ion energy is reduced in this way, the resist mask 4 and the single crystal Si substrate 1 (more precisely, the impurity diffusion region) are formed even though the wafer is not cooled at a low temperature as in the first embodiment. It was possible to secure sufficient selectivity for 2).

【0036】実施例3 本実施例では、同じコンタクト・ホール加工をCF3
OCF3 /S2 2 混合ガスを用いて行った。すなわ
ち、図1(a)に示したウェハをマグネトロンRIE装
置にセットし、一例として下記の条件でSiO2 層間絶
縁膜3をエッチングした。
Embodiment 3 In this embodiment, the same contact hole processing is performed with CF 3 C.
It was performed using an OCF 3 / S 2 F 2 mixed gas. That is, the wafer shown in FIG. 1A was set in a magnetron RIE apparatus, and the SiO 2 interlayer insulating film 3 was etched under the following conditions as an example.

【0037】 CF3 COCF3 流量 35 SCCM S2 2 流量 15 SCCM ガス圧 2.0 Pa RFパワー密度 1.5 W/cm2 (1
3.56 MHz) 磁場強度 1.50×10-2 T(=150
G) ウェハ温度 0 ℃(アルコール系
冷媒使用)
CF 3 COCF 3 flow rate 35 SCCM S 2 F 2 flow rate 15 SCCM gas pressure 2.0 Pa RF power density 1.5 W / cm 2 (1
3.56 MHz) Magnetic field strength 1.50 × 10 -2 T (= 150
G) Wafer temperature 0 ° C (using alcohol refrigerant)

【0038】ここで、上記S2 2 は、本願出願人が先
に特開平4−84427号公報において、SiO2 系材
料層のエッチング用に提案した4種類のフッ化イオウの
うちのひとつである。S2 2 から生成する主エッチン
グ種は、SFx + とF* である。また上記フッ化イオウ
は、従来からエッチング・ガスとして実用化されている
SF6 に比べてS/F比(1分子中のS原子数とF原子
数の比)が大きく、放電解離条件下でプラズマ中に遊離
のSを放出することができる。
Here, the above S 2 F 2 is one of four types of sulfur fluoride that the applicant of the present application has previously proposed for etching a SiO 2 based material layer in Japanese Patent Laid-Open No. 4-84427. is there. The main etching species generated from S 2 F 2 are SF x + and F * . Further, the sulfur fluoride has a large S / F ratio (ratio of the number of S atoms and the number of F atoms in one molecule) compared to SF 6 which has been practically used as an etching gas, and it is under discharge dissociation conditions. It is possible to emit free S into the plasma.

【0039】上記エッチング過程では、S2 2 から生
成するF* やSFx + がエッチング種として利用できる
他、同じくS2 2 から効率良く生成するSをウェハ上
に堆積させ、表面保護に利用できる点が大きな特色であ
る。つまり、CF3 COCF 3 やレジスト・マスク4に
由来する炭素系ポリマーに加えて、S2 2 からもSを
供給して表面保護効果を増強することができる。これに
より、実施例1に比べて入射イオン・エネルギーが低
く、またウェハ温度が高い条件であるにもかかわらず、
良好な高速・高選択エッチングを行うことができた。
In the above etching process, S2F2Raw
F to make*And SFx +Can be used as an etching species
Others, also S2F2S efficiently generated from
It has a big feature that it can be deposited on the surface and used for surface protection.
It That is, CF3COCF 3And resist mask 4
In addition to the derived carbon-based polymer, S2F2From S
It can be supplied to enhance the surface protection effect. to this
Therefore, the incident ion energy is lower than that of the first embodiment.
In addition, even though the wafer temperature is high,
Good high speed and high selective etching could be performed.

【0040】なお、ウェハ上に堆積したSは、エッチン
グ終了後に通常のO2 プラズマ・アッシングを行ったと
ころ、レジスト・マスク4や堆積していた炭素系ポリマ
ーと共に燃焼除去され、ウェハ上に何らパーティクル汚
染を残すことはなかった。
Note that S deposited on the wafer was burned and removed together with the resist mask 4 and the deposited carbon-based polymer when normal O 2 plasma ashing was performed after the etching was completed, and no particles were formed on the wafer. No pollution was left.

【0041】以上、本発明を3例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、分子内にカルボニル基とフッ
素原子とを有する炭素数2以上のフルオロカーボン系化
合物として、上述の実施例ではCF3 COCF3 を用い
たが、この構造異性体であるCF3 CF2 COF(フッ
化ペンタフルオロプロピオニル;沸点−27℃)を用い
て同じ条件でエッチングを行った場合にも、ほぼ同様の
結果を得ることができた。さらに、CF3 COF(フッ
化トリフルオロアセチル;沸点−59℃)、(CF3
O)2 O(無水トリフルオロ酢酸;沸点40℃)、FO
C(CF2 3 COF(フッ化ヘキサフルオログルタリ
ル;沸点46℃)等も同様に用いることができる。この
うち、常温で液体である化合物については、Heガス・
バブリング等の手段を用いて気化させた後に、エッチン
グ・チャンバ内へ導入すれば良い。
The present invention has been described above based on the three embodiments, but the present invention is not limited to these embodiments. For example, as the number 2 or more fluorocarbon compounds carbon having a carbonyl group and a fluorine atom in the molecule, although in the above embodiment using CF 3 COCF 3, CF 3 CF 2 COF ( hydrofluoric is this structural isomer Almost the same result could be obtained when etching was performed under the same conditions using pentafluoropropionyl chloride (boiling point -27 ° C.). Furthermore, CF 3 COF (trifluoroacetyl fluoride; boiling point −59 ° C.), (CF 3 C
O) 2 O (trifluoroacetic anhydride; boiling point 40 ° C), FO
C (CF 2 ) 3 COF (hexafluoroglutaryl fluoride; boiling point 46 ° C.) and the like can be used as well. Of these, for compounds that are liquid at room temperature, He gas
It may be introduced into the etching chamber after being vaporized by means such as bubbling.

【0042】放電解離条件下で遊離のSを放出するイオ
ウ系化合物としては、上述のS2 2 の他、SF2 ,S
4 ,S2 10等を用いることができる。Sを放出でき
る点のみに着目すれば、S2 Cl2 ,S2 Br2 ,H2
S等、他にも該当する化合物は存在するが、特にSiO
2 系材料層のエッチングを想定した場合には、エッチン
グ種としてF* を生成できる化合物の方が有利である。
Ions that release free S under discharge dissociation conditions
As the c-based compound, the above S2F 2And SF2, S
FFour, S2FTenEtc. can be used. Can release S
If you pay attention only to the point2Cl2, S2Br2, H2
There are other applicable compounds such as S, but especially SiO.
2Etching is assumed when etching the material layer
F as a seed*Compounds capable of producing are preferred.

【0043】シリコン化合物層は、上述のSiO2 層間
絶縁膜の他、PSG,BSG,BPSG,AsSG,A
sPSG,AsBSG等のSiO2 シリコン系材料、あ
るいはSiNx からなるものであっても良い。エッチン
グ・サンプルとして用いたウェハの構成も上述の構成に
限られるものではなく、たとえばSiO2 層間絶縁膜の
下地は単結晶Si基板以外にも、多結晶シリコン層、ポ
リサイド膜、あるいはAl−1%Si層等の金属材料層
等である場合が考えられる。
The silicon compound layer is formed of PSG, BSG, BPSG, AsSG, A in addition to the above-mentioned SiO 2 interlayer insulating film.
It may be made of SiO 2 silicon-based material such as sPSG or AsBSG, or SiN x . The structure of the wafer used as the etching sample is not limited to the above structure. For example, the base of the SiO 2 interlayer insulating film may be a polycrystalline silicon layer, a polycide film, or Al-1% other than the single crystal Si substrate. It may be a metal material layer such as a Si layer.

【0044】エッチング・ガスには、スパッタリング効
果、希釈効果、冷却効果等を得る目的でHe,Ar等の
希ガスが適宜添加されていても構わない。その他、使用
するエッチング装置、エッチング条件等が適宜変更可能
であることは言うまでもない。
A rare gas such as He or Ar may be appropriately added to the etching gas for the purpose of obtaining a sputtering effect, a dilution effect, a cooling effect and the like. Needless to say, the etching apparatus used, the etching conditions, and the like can be changed as appropriate.

【0045】[0045]

【発明の効果】以上の説明からも明らかなように、本発
明ではシリコン化合物層のエッチング・ガスの構成成分
として分子内にカルボニル基とフッ素原子とを有する炭
素数2以上のフルオロカーボン系化合物を用いることに
より、基本的には単独組成のエッチング・ガスを用い、
1段階プロセスにより高速、高選択、低汚染、低ダメー
ジ・エッチングを実現することができる。さらに、CO
やイオウ系化合物をエッチング・ガスに添加することに
より、これらの諸要件をさらに高いレベルで満足させる
ことも可能である。
As is clear from the above description, in the present invention, a fluorocarbon compound having 2 or more carbon atoms having a carbonyl group and a fluorine atom in the molecule is used as a constituent of the etching gas for the silicon compound layer. Therefore, basically, an etching gas of a single composition is used,
High-speed, high-selection, low pollution, and low damage / etching can be realized by the one-step process. Furthermore, CO
It is also possible to satisfy these requirements at a higher level by adding a sulfur-based compound to the etching gas.

【0046】本発明は微細なデザイン・ルールにもとづ
いて設計され、高集積度、高性能、高信頼性を要求され
る半導体装置の製造において、歩留りや生産性を大きく
改善することに貢献する。
The present invention contributes to a great improvement in yield and productivity in the manufacture of semiconductor devices which are designed based on fine design rules and which are required to have high integration, high performance and high reliability.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明をコンタクト・ホール加工に適用したプ
ロセス例をその工程順にしたがって示す概略断面図であ
り、(a)はSiO2 層間絶縁膜上にレジスト・マスク
が形成された状態、(b)はコンタクト・ホールが形成
された状態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view showing an example of a process in which the present invention is applied to processing a contact hole in the order of steps, (a) showing a state where a resist mask is formed on a SiO 2 interlayer insulating film, (b) ) Represents the state where the contact holes are formed.

【符号の説明】[Explanation of symbols]

1 ・・・単結晶Si基板 2 ・・・不純物拡散領域 3 ・・・SiO2 層間絶縁膜 4 ・・・レジスト・マスク 4a・・・開口部 5 ・・・コンタクト・ホール1 ... monocrystalline Si substrate 2 ... impurity diffusion regions 3 ... SiO 2 interlayer insulating film 4 ... resist mask 4a ... opening 5 ... contact hole

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 分子内にカルボニル基とフッ素原子とを
有する炭素数2以上のフルオロカーボン系化合物を含む
エッチング・ガスを用いてシリコン化合物層をエッチン
グすることを特徴とするドライエッチング方法。
1. A dry etching method comprising etching a silicon compound layer using an etching gas containing a fluorocarbon compound having a carbonyl group and a fluorine atom in the molecule and having 2 or more carbon atoms.
【請求項2】 前記エッチング・ガスが一酸化炭素を含
むことを特徴とする請求項1記載のドライエッチング方
法。
2. The dry etching method according to claim 1, wherein the etching gas contains carbon monoxide.
【請求項3】 前記エッチング・ガスが放電解離条件下
で遊離のイオウを放出するイオウ系化合物を含むことを
特徴とする請求項1または請求項2に記載のドライエッ
チング方法。
3. The dry etching method according to claim 1, wherein the etching gas contains a sulfur-based compound that releases free sulfur under discharge dissociation conditions.
JP30910292A 1992-11-18 1992-11-18 Dry etching method Withdrawn JPH06163476A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30910292A JPH06163476A (en) 1992-11-18 1992-11-18 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30910292A JPH06163476A (en) 1992-11-18 1992-11-18 Dry etching method

Publications (1)

Publication Number Publication Date
JPH06163476A true JPH06163476A (en) 1994-06-10

Family

ID=17988916

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30910292A Withdrawn JPH06163476A (en) 1992-11-18 1992-11-18 Dry etching method

Country Status (1)

Country Link
JP (1) JPH06163476A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291299A (en) * 1995-04-21 1996-11-05 Central Glass Co Ltd Cleaning or etching gas
KR970003478A (en) * 1995-06-23 1997-01-28 김주용 Contact hole formation method of semiconductor device
WO1998001899A1 (en) * 1996-07-10 1998-01-15 Daikin Industries, Ltd. Cleaning gas
EP0776032A3 (en) * 1995-10-26 1998-04-15 Tokyo Electron Limited Plasma etching method
WO2002005338A1 (en) * 2000-07-07 2002-01-17 Research Institute Of Innovative Technology For The Earth Cleaning gas and etching gas
WO2002086192A1 (en) * 2001-04-24 2002-10-31 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
JP2007059759A (en) * 2005-08-26 2007-03-08 Victor Co Of Japan Ltd Method of manufacturing semiconductor laser device
KR100833724B1 (en) * 2001-11-13 2008-05-29 인텔 코오퍼레이션 A biasing technique for a high density sram
JP2019091890A (en) * 2017-11-14 2019-06-13 セントラル硝子株式会社 Dry etching method
CN110832623A (en) * 2017-06-27 2020-02-21 乔治洛德方法研究和开发液化空气有限公司 Etching method and plasma etching material

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291299A (en) * 1995-04-21 1996-11-05 Central Glass Co Ltd Cleaning or etching gas
KR970003478A (en) * 1995-06-23 1997-01-28 김주용 Contact hole formation method of semiconductor device
EP0776032A3 (en) * 1995-10-26 1998-04-15 Tokyo Electron Limited Plasma etching method
WO1998001899A1 (en) * 1996-07-10 1998-01-15 Daikin Industries, Ltd. Cleaning gas
KR100497884B1 (en) * 1996-07-10 2005-06-29 다이낑 고오교 가부시키가이샤 Cleaning Gas
WO2002005338A1 (en) * 2000-07-07 2002-01-17 Research Institute Of Innovative Technology For The Earth Cleaning gas and etching gas
US6540930B2 (en) 2001-04-24 2003-04-01 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
WO2002086192A1 (en) * 2001-04-24 2002-10-31 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
KR100833724B1 (en) * 2001-11-13 2008-05-29 인텔 코오퍼레이션 A biasing technique for a high density sram
JP2007059759A (en) * 2005-08-26 2007-03-08 Victor Co Of Japan Ltd Method of manufacturing semiconductor laser device
CN110832623A (en) * 2017-06-27 2020-02-21 乔治洛德方法研究和开发液化空气有限公司 Etching method and plasma etching material
CN110832623B (en) * 2017-06-27 2024-01-19 乔治洛德方法研究和开发液化空气有限公司 Etching method and plasma etched material
JP2019091890A (en) * 2017-11-14 2019-06-13 セントラル硝子株式会社 Dry etching method
JP2023001302A (en) * 2017-11-14 2023-01-04 セントラル硝子株式会社 Dry etching method

Similar Documents

Publication Publication Date Title
US5445712A (en) Dry etching method
JP3116569B2 (en) Dry etching method
JP3109253B2 (en) Dry etching method
JPH0927483A (en) Method of etching oxide layer
WO1999033097A1 (en) Improved techniques for etching an oxide layer
JPH06177091A (en) Manufacture of semiconductor device
JP2001077086A (en) Dry etching method of semiconductor device
JP3277394B2 (en) Method for manufacturing semiconductor device
JPH06163476A (en) Dry etching method
JPH04346428A (en) Dry-etching method
JP2687787B2 (en) Dry etching method
JP3440735B2 (en) Dry etching method
JP3160961B2 (en) Dry etching method
JPH04346427A (en) Dry-etching method
JP3298205B2 (en) Dry etching method
JPH04170026A (en) Dry etching
JP3208596B2 (en) Dry etching method
JP3329038B2 (en) Dry etching method
JP3301157B2 (en) Dry etching method
JP2001250817A (en) Method of dry etching and method of manufacturing semiconductor device
JP3239460B2 (en) Forming connection holes
JP2687769B2 (en) Dry etching method
JP3380947B2 (en) Plasma etching method for low dielectric constant silicon oxide based insulating film
JP3297939B2 (en) Dry etching method
JP3116421B2 (en) Dry etching method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20000201