JP2000150465A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP2000150465A
JP2000150465A JP37505199A JP37505199A JP2000150465A JP 2000150465 A JP2000150465 A JP 2000150465A JP 37505199 A JP37505199 A JP 37505199A JP 37505199 A JP37505199 A JP 37505199A JP 2000150465 A JP2000150465 A JP 2000150465A
Authority
JP
Japan
Prior art keywords
etching
gas
selectivity
etched
cooling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP37505199A
Other languages
Japanese (ja)
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP37505199A priority Critical patent/JP2000150465A/en
Publication of JP2000150465A publication Critical patent/JP2000150465A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide good high-speed characteristics, good selectivity against base material, and low damage characteristics, by etching a silicon compound layer on a substrate with an etching gas comprising a saturated fluoro carbon compound comprising an annular part at least at a part of molecular structure while the substrate to be etched is controlled for cooling. SOLUTION: Related to a saturated fluoro carbon compound c-CnF2n comprising an annular part at a part of molecular structure, the number of fluorine atoms is less by two for a single molecule compared to a straight chain saturated fluoro carbon compound CnF2n+2 if the number of carbons is equal. So, C/F ratio of etching reaction system is lowered with no extra additive gas. A cooling piping of a reactive ion etching device is set on an incorporated wafer placement electrode, a coolant is supplied to the cooling piping from a cooling device for circulation, so that the temperature of wafer during etching is controlled to 50 deg.C or below. Thus, fast etching is possible with high selectivity, high anisotropism, and low damage characteristics.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置の製造に適
用されるドライエッチング方法に関し、特に対レジスト
選択性及び対シリコン下地選択性に優れ、しかも高速で
パーティクル汚染が少ないシリコン化合物層のドライエ
ッチング方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied to the manufacture of semiconductor devices, and more particularly to a dry etching method for a silicon compound layer which is excellent in selectivity with respect to a resist and selectivity with respect to a silicon underlayer, and has high speed and low particle contamination. It relates to an etching method.

【0002】[0002]

【従来の技術】従来、VLSI、ULSI等のように半
導体装置の高集積化及び高性能化が図れることに伴い酸
化シリコン等のシリコン化合物層のドライエッチング方
法についてもエッチングの微細化及び高精度が要求され
ている。
2. Description of the Related Art Conventionally, as the integration and performance of semiconductor devices such as VLSI and ULSI have been improved, the fineness and high precision of the dry etching method of a silicon compound layer such as silicon oxide have been increased. Has been requested.

【0003】まず、高集積化によりデバイス・チップの
面積が拡大し、ウェハが大口径化している一方で形成す
べきパターンが微細化しているので、エッチングのウェ
ハ面内均一性を確保するため、ドライエッチング装置は
バッチ式から枚葉式が採用されるに及んでいる。このよ
うな枚葉式を採用しながら生産性を維持するためには、
大幅なエッチング速度の向上が必要となる。
First, since the area of device chips has been increased due to high integration, and the wafer to be formed has a large diameter, the pattern to be formed has been miniaturized. Dry etching apparatuses range from batch type to single wafer type. In order to maintain productivity while adopting such a single wafer type,
A drastic improvement in the etching rate is required.

【0004】また、デバイスの高速化や微細化を図るた
め不純物拡散領域の接合深さが浅くなり、また各種の堆
積膜も薄くなると、対下地選択性に優れダメージの少な
いエッチング技術が要求される。例えば、半導体基板内
に形成された不純物拡散領域や、SRAMの抵抗負荷素
子として用いられるPMOSトランジスタのソース・ド
レイン領域等にコンタクトを形成しようとする場合に、
シリコン基板や多結晶シリコン層を下地として行われる
酸化シリコン層間絶縁膜のエッチング等がその例であ
る。
In addition, if the junction depth of the impurity diffusion region becomes shallower and the thickness of various deposited films becomes thinner in order to increase the speed and miniaturization of the device, an etching technique which is excellent in selectivity to a base and causes less damage is required. . For example, when a contact is to be formed in an impurity diffusion region formed in a semiconductor substrate or a source / drain region of a PMOS transistor used as a resistance load element of an SRAM,
An example thereof is etching of a silicon oxide interlayer insulating film which is performed using a silicon substrate or a polycrystalline silicon layer as a base.

【0005】さらに、対レジスト選択比の向上も重要な
課題である。サブミクロン・デバイスでは、レジストの
後退によるわずかな寸法変換差の発生も許容されなくな
ってきている。
[0005] Further, improvement of the selectivity to resist is also an important issue. In submicron devices, the occurrence of slight dimensional change due to resist receding is becoming unacceptable.

【0006】従来、シリコン系材料層に対して高い選択
比を保ちながら酸化シリコン等のシリコン化合物層をド
ライエッチングするには、CHF3、CF4/H2混合
系、CF4/O2混合系、C26/CHF4混合系等がエ
ッチング・ガスが使用されている。これらは、いずれも
C/F比(分子内の炭素原子数とフッ素原子数の比)が
0.25以上のフルオロカーボン系ガスを主体としてい
る。これらのガス系が使用されるのは、(a)フルオロ
カーボン系ガスに含まれるCが酸化シリコン層の表面で
C−Oを結合を生成し、Si−O結合を切断したり弱め
たりする働きがある、(b)酸化シリコン層の主エッチ
ング種であるCFx + (特にCF3 + ) を生成し得る、
さらに(c)プラズマ中で相対的に炭素に富む状態が作
り出されるので、酸化シリコン中の酸素がCO又はCO
2の形で除去される一方、ガス系に含まれるC,H,F
等の寄与によりシリコン系材料層の表面では炭素系のポ
リマーが堆積してエッチング速度が低下し、シリコン系
材料層に対する高選択比が得られる、等の理由に基づい
ている。
Conventionally, in order to dry-etch a silicon compound layer such as silicon oxide while maintaining a high selectivity with respect to a silicon-based material layer, a CHF 3 , CF 4 / H 2 mixed system, CF 4 / O 2 mixed system has been used. , C 2 F 6 / CHF 4 mixed system or the like uses an etching gas. Each of these is mainly composed of a fluorocarbon gas having a C / F ratio (ratio of the number of carbon atoms to the number of fluorine atoms in a molecule) of 0.25 or more. These gas systems are used because (a) C contained in the fluorocarbon-based gas forms a bond of C—O on the surface of the silicon oxide layer and cuts or weakens the Si—O bond. (B) it can generate CF x + (particularly CF 3 + ), which is the main etching species of the silicon oxide layer;
(C) Since a relatively carbon-rich state is created in the plasma, the oxygen in the silicon oxide is reduced to CO or CO
C, H, F contained in the gas system while being removed in the form of 2.
This is based on the reason that the carbon-based polymer is deposited on the surface of the silicon-based material layer and the etching rate is reduced due to the contribution of the silicon-based material layer, and a high selectivity to the silicon-based material layer is obtained.

【0007】なお、上記のH2、O2等の添加ガスは選択
比の制御を目的として用いられているものであり、それ
ぞれF*発生量を低減若しくはは増大させることができ
る。すなわち、エッチング反応系の見掛け上のC/F比
を制御する効果を有する。
The above-mentioned added gases such as H 2 and O 2 are used for the purpose of controlling the selectivity, and can reduce or increase the amount of F * generated. That is, it has the effect of controlling the apparent C / F ratio of the etching reaction system.

【0008】これに対し、本願出願人は先に特願平2−
75828号明細書において、炭素数2以上の飽和ない
し不飽和の鎖状高次フルオロカーボン系ガスを使用する
シリコン化合物層のドライエッチング方法を提案してい
る。これは、C26、C38、C410、C46等の高
次フルオロカーボン系ガスを使用することによりCFx *
を効率良く生成させ、エッチングの高速化を図ったもの
である。但し、高次フルオロカーボン系ガスを単独で使
用するのでは、対レジスト選択比及び対シリコン下地選
択比を十分に大きくとることができない。例えば、C3
8をエッチング・ガスとしてシリコン基板上の酸化シ
リコン層をエッチングした場合、高速性は達成されるも
のの、対レジスト選択比が1.3程度と低く、エッチン
グ耐性が不足する他、パターン・エッジの後退による寸
法変換差が発生してしまう。また、対シリコン選択比も
4.1程度であるので、オーバーエッチング耐性に問題
が残る。そこで、これらの問題を解決するため、上記の
先行技術では鎖状高次フルオロカーボン・ガス単独によ
るエッチングは下地が露出する直前で停止し、シリコン
化合物層の残余部をエッチングする際には炭素系ポリマ
ーの堆積を促進するためにこのガスにさらに炭化水素系
ガスを添加するという、2段階エッチングが行われてい
る。
On the other hand, the applicant of the present application has previously filed Japanese Patent Application No. Hei.
No. 75828 proposes a dry etching method for a silicon compound layer using a saturated or unsaturated chain-like higher-order fluorocarbon-based gas having 2 or more carbon atoms. This is because CF x * is obtained by using a higher-order fluorocarbon-based gas such as C 2 F 6 , C 3 F 8 , C 4 F 10 and C 4 F 6 .
Is efficiently generated, and the etching speed is increased. However, if the higher-order fluorocarbon-based gas is used alone, the selectivity to resist and the selectivity to silicon underlayer cannot be made sufficiently large. For example, C 3
When the silicon oxide layer on the silicon substrate is etched using F 8 as an etching gas, high speed is achieved, but the selectivity to resist is as low as about 1.3, the etching resistance is insufficient, and the edge of the pattern edge is insufficient. A dimensional conversion difference occurs due to the retreat. Further, since the selectivity with respect to silicon is about 4.1, there remains a problem in over-etching resistance. Therefore, in order to solve these problems, in the above prior art, the etching using the chain-like higher fluorocarbon gas alone is stopped immediately before the base is exposed, and the carbon-based polymer is etched when the remaining portion of the silicon compound layer is etched. Two-stage etching is performed in which a hydrocarbon-based gas is further added to this gas in order to promote the deposition of the gas.

【0009】半導体装置のデザイン・ルールが更に微細
化されると、エッチング・マスクとの寸法変換差がほと
んど許容できなくなり、上述のような2段階エッチング
を行うにしても、1段目のエッチングにおける選択比を
さらに向上させることが必要となる。更に一層の微細化
が進行するに伴い、炭素系ポリマーによるパーティクル
汚染の影響が発生することも考えられるので、2段目の
エッチングにおける炭化水素系ガス等の堆積性ガスの使
用量もできるだけ低減させる必要がある。
If the design rule of the semiconductor device is further miniaturized, the dimensional conversion difference from the etching mask becomes almost unacceptable. It is necessary to further improve the selectivity. As further miniaturization proceeds, it is conceivable that the influence of particle contamination by the carbon-based polymer may occur. Therefore, the amount of deposition gas such as hydrocarbon-based gas used in the second-stage etching is also reduced as much as possible. There is a need.

【0010】そこで、本願出願人は、先に特願平2−2
95225号明細書において、被処理基板の温度を50
℃以下に制御した状態で、分子内に少なくとも1個の不
飽和結合を有する鎖状不飽和フルオロカーボン系ガスを
用いてシリコン化合物層をエッチングする技術を開示し
ている。上記鎖状不飽和フルオロカーボン系ガスは、放
電解離により理論上は1分子から2個以上のCFx *を生
成するので、酸化シリコンを高速にエッチングすること
ができる。また、分子内に不飽和結合を有することから
解離により高活性なラジカルを生成させ易く、炭素系ポ
リマーの重合を促進する。しかも、被処理基板の温度が
50℃以下に制御されていることにより、上記炭素系ポ
リマーの堆積が促進される。したがって、対レジスト選
択性及び対シリコン下地選択性を向上させることができ
る。
Accordingly, the applicant of the present application has previously filed Japanese Patent Application No. Hei.
In the specification of Japanese Patent No. 95225, the temperature of the substrate
A technique is disclosed in which a silicon compound layer is etched using a chain unsaturated fluorocarbon-based gas having at least one unsaturated bond in a molecule while controlling the temperature at a temperature of not more than ° C. Since the chain unsaturated fluorocarbon-based gas theoretically generates two or more CF x * from one molecule by discharge dissociation, silicon oxide can be etched at high speed. In addition, since it has an unsaturated bond in the molecule, it is easy to generate a highly active radical by dissociation, and promotes the polymerization of the carbon-based polymer. Moreover, since the temperature of the substrate to be processed is controlled to 50 ° C. or less, the deposition of the carbon-based polymer is promoted. Therefore, selectivity to resist and selectivity to silicon underlayer can be improved.

【0011】また、同明細書には、鎖状不飽和フルオロ
カーボン系ガス単独によるエッチングはシリコン化合物
層の途中までで停止し、残余のエッチングを上記の鎖状
不飽和フルオロカーボン系ガスに炭化水素系ガスを添加
したガスを用いて行う技術も同時に開示している。これ
は、下地シリコンに対する選択性を一層向上させるため
に、エッチングの中途から堆積性ガスを併用している。
[0011] Further, in the same specification, the etching with the chain unsaturated fluorocarbon-based gas alone is stopped halfway in the silicon compound layer, and the remaining etching is carried out with the hydrocarbon-based gas in the chain unsaturated fluorocarbon-based gas. A technique performed using a gas to which is added is also disclosed. In this method, a deposition gas is used in the middle of the etching in order to further improve the selectivity to the underlying silicon.

【0012】また、ヘキサフルオロベンゼン(C66
とテトラフルオロメタン(CF4)の混合ガスにより酸
化シリコンをエッチングする技術が特公平1−6093
8号公報に開示されている。これは、環状不飽和高次フ
ルオロカーボン系ガスを使用することによりプラズマ中
に効率的にCFx *を発生させ、炭素系ポリマーの重合を
促進するものである。
Further, hexafluorobenzene (C 6 F 6 )
Japanese Patent Publication No. 1-6093 discloses a technique for etching silicon oxide with a mixed gas of methane and tetrafluoromethane (CF 4 ).
No. 8 discloses this. This is to use a cyclic unsaturated higher-order fluorocarbon-based gas to efficiently generate CF x * in the plasma and promote the polymerization of the carbon-based polymer.

【0013】[0013]

【発明が解決しようとする課題】従来提案されている鎖
状不飽和フルオロカーボン系ガス、若しくは環状不飽和
フルオロカーボン系ガスを使用する技術は、これまでの
説明からも明らかなように、十分な選択比を得るために
実用上は他の添加ガスと併用することが必要となる。
The technique of using a chain unsaturated fluorocarbon-based gas or a cyclic unsaturated fluorocarbon-based gas, which has been conventionally proposed, has a sufficient selectivity as apparent from the above description. In order to obtain the above, it is practically necessary to use it in combination with another additive gas.

【0014】また、C66を使用する技術によると、こ
れを開示した公報中にも記載されるように、C66単独
でエッチング・ガスを構成することはできない。それ
は、C66単独ではプラズマ中に著しく多量のCFx *
発生し、炭素系ポリマーの重合が過度に促進されてエッ
チング反応が進行しないからである。そこで、このCF
x *の発生を抑制するため、フルオロカーボン系ガスの中
で最もC/F比の低いCF4を混合している。
Further, according to the technique using C 6 F 6 , as described in the gazette which discloses the technique, it is impossible to form an etching gas by C 6 F 6 alone. This is because C 6 F 6 alone generates a remarkably large amount of CF x * in the plasma, and excessively promotes the polymerization of the carbon-based polymer so that the etching reaction does not proceed. Therefore, this CF
In order to suppress the generation of x * , CF 4 having the lowest C / F ratio among the fluorocarbon gases is mixed.

【0015】したがって、環状の高次フルオロカーボン
系ガスを使用するにしても、単独でも使用し得る化合物
を選択した方が、エッチングの制御性や安定性を向上さ
せる上で有利である。
Therefore, even if a cyclic higher-order fluorocarbon-based gas is used, it is advantageous to select a compound which can be used alone in order to improve controllability and stability of etching.

【0016】そこで、本発明は、シリコン化合物層のド
ライエッチング・ガスとして、環状の高次フルオロカー
ボン系ガスについて検討し、高速性、対下地選択性、対
レジスト選択性、低汚染性、低ダメージ性に優れる新規
なドライエッチング方法を提供することを課題とする。
Therefore, the present invention examines a cyclic high order fluorocarbon-based gas as a dry etching gas for a silicon compound layer, and examines high speed, selectivity to base, selectivity to resist, low contamination, and low damage. It is an object of the present invention to provide a novel dry etching method which is excellent in quality.

【0017】[0017]

【課題を解決するための手段】本発明に係るドライエッ
チング方法は、上述の課題を達成するために提案される
ものであり、分子構造の少なくとも一部に環状部を有す
る飽和フルオロカーボン系化合物を含むエッチング・ガ
スを用い、被エッチング基体を冷却手段から供給される
冷媒によって冷却制御しながら基板上に形成されたシリ
コン化合物層のエッチングを行う。
The dry etching method according to the present invention is proposed to achieve the above-mentioned object, and includes a saturated fluorocarbon compound having a cyclic portion in at least a part of the molecular structure. Using the etching gas, the silicon compound layer formed on the substrate is etched while controlling the cooling of the substrate to be etched by the cooling medium supplied from the cooling means.

【0018】また、本発明は、分子構造の少なくとも一
部に環状部を有する不飽和フルオロカーボン系化合物を
含むエッチング・ガスを用い、被エッチング基体を冷却
手段から供給される冷媒によって冷却制御しながら基板
上に形成されたシリコン化合物層のエッチングを行う。
Further, according to the present invention, an etching gas containing an unsaturated fluorocarbon-based compound having a cyclic portion in at least a part of its molecular structure is used, and the substrate to be etched is controlled by a cooling medium supplied from a cooling means to control the substrate. The silicon compound layer formed above is etched.

【0019】なお、以下の説明では、上記飽和若しくは
不飽和フルオロカーボン系化合物を一般式を用いて表記
する際に、鎖状不飽和フルオロカーボン系化合物との混
同を避けるために、環状(cyclic)であることを表すc
−を頭に付す。
In the following description, when the above-mentioned saturated or unsaturated fluorocarbon compound is represented by a general formula, it is cyclic in order to avoid confusion with a chain unsaturated fluorocarbon compound. C
-Is attached to the head.

【0020】まず、本発明で使用される飽和フルオロカ
ーボン系化合物は、一般式c−Cn2n(但し、nは3
以上の整数を表す。)で表され、その代表例としては次
の化1で示される単環系化合物が例示される。
First, the saturated fluorocarbon compound used in the present invention is represented by the general formula c-C n F 2n (where n is 3)
Represents the above integer. And a typical example thereof is a monocyclic compound represented by the following chemical formula 1.

【0021】[0021]

【化1】 Embedded image

【0022】ここで、各構造式において炭素環の中央に
Fと記されているのは、同一の炭素骨格を有する炭化水
素の水素原子が全てフッ素原子に置換されていることを
示している。以下、説明ではこの表記方法を採用する。
Here, F in the center of the carbocycle in each structural formula indicates that all hydrogen atoms of hydrocarbons having the same carbon skeleton are substituted with fluorine atoms. Hereinafter, this description method is adopted in the description.

【0023】化1では3〜7員環の各化合物を例示した
が、製造が技術的に可能で安定に存在し得る化合物であ
れば、これより大きい炭素環を有するものであってもよ
い。
In Chemical formula 1, each compound having a 3- to 7-membered ring is exemplified, but any compound having a larger carbon ring may be used as long as it is technically feasible and can be stably present.

【0024】また、上記単環系化合物の構造異性体とし
て、次の化2で示されるようなパーフルオロアルキル基
を側鎖に有する化合物も例示される。
Further, as a structural isomer of the monocyclic compound, a compound having a perfluoroalkyl group in the side chain as shown in the following chemical formula 2 is also exemplified.

【0025】[0025]

【化2】 Embedded image

【0026】化2では側鎖がトリフルオロメチル基であ
る場合を例示した。これらはいずれも常温常圧下で液体
であるため、エッチング反応系へ導入するためには加熱
若しくは不活性ガスを用いたバブリング等を行う必要が
ある。
In chemical formula 2, the case where the side chain is a trifluoromethyl group is exemplified. Since these are all liquids at normal temperature and normal pressure, it is necessary to perform heating or bubbling using an inert gas or the like in order to introduce them into the etching reaction system.

【0027】また、本発明で使用される不飽和フルオロ
カーボン系化合物は、一般式c−C ny(但し、nは3
以上の整数を表し、y≦2n−2の条件を満たす。)で
表され、その代表例としては、次の化3で示される単環
系化合物が例示される。
The unsaturated fluorocarbon used in the present invention is
The carbon-based compound has the general formula c-C nFy(However, n is 3
It represents the above integer and satisfies the condition of y ≦ 2n−2. )so
Represented by a monocyclic ring represented by the following chemical formula 3.
Examples are based compounds.

【0028】[0028]

【化3】 Embedded image

【0029】化3では炭素環内に2重結合を1個有する
3〜6員環の各化合物c−Cn2n-2を例示したが、製
造が技術的に可能で且つ安定に存在し得る化合物であれ
ばこれより大きい炭素環を有するものであってもよい。
また、炭素環内の不飽和結合の数も特に1個に限定され
るものではないが、前述のようにC66(C/F比=
1)が単独ではエッチング・ガスを構成し得ないことを
考慮すると、分子内に余り多くの不飽和結合が存在して
C/F比が極端に増大することは望ましくない。したが
って、実用上はC/F比が1未満であることが望まし
い。
[0029] Formula 3 In has been illustrated each compound c-C n F 2n-2 3-6 membered ring one have a double bond in the carbocyclic ring, manufacture technically possible and stably exist As long as the compound is obtained, it may have a larger carbon ring.
Also, the number of unsaturated bonds in the carbocycle is not particularly limited to one, but as described above, C 6 F 6 (C / F ratio =
Considering that 1) alone cannot constitute an etching gas, it is not desirable that the C / F ratio is extremely increased due to the presence of too many unsaturated bonds in the molecule. Therefore, it is desirable that the C / F ratio is less than 1 in practical use.

【0030】さらに、上記不飽和フルオロカーボン系化
合物の構造異性体としては、上述のような不飽和環にパ
ーフルオロアルキル基が結合しているもの、不飽和環に
パーフルオロビニル基のような不飽和鎖が結合している
もの、飽和環にパーフルオロビニル基のような不飽和鎖
が結合しているもの等が考えられる。
Further, as the structural isomers of the above-mentioned unsaturated fluorocarbon compounds, those having a perfluoroalkyl group bonded to the above-mentioned unsaturated ring and those having an unsaturated ring such as a perfluorovinyl group on the unsaturated ring are mentioned. One having a chain bonded thereto, one having an unsaturated chain such as a perfluorovinyl group bonded to a saturated ring, and the like are conceivable.

【0031】ところで、本発明ではc−Cn2n若しく
はc−Cnyを目的に応じて互いに混合するか、若しく
は他のガスと適宜混合して用いてもよい。また、エッチ
ング工程を多段階に分け、各段階において異なるガス系
を使用してもよい。
By the way, it may be mixed as appropriate with mixing either, or other gases from each other depending on c-C n F 2n or object c-C n F y in the present invention. Further, the etching process may be divided into multiple stages, and different gas systems may be used in each stage.

【0032】例えば、C/F比でみると、c−Cn2n
はc−Cnyに比べて高速性を達成する上で有利であ
り、逆にc−Cnyはc−Cn2nに比べて高選択性を
達成する上で有利である。そこで、(イ)c−Cn2n
にc−Cnyを少量添加した混合ガス系による低温エッ
チング、若しくは(ロ)下地が露出する直前まではシリ
コン化合物層のエッチングをc−Cn2nnの単独ガス系
で高速に行い、シリコン系化合物の残余部のエッチング
とオーバーエッチングとをc−Cn2nとc−Cny
の混合ガス系で高選択比をもって行う2段階エッチング
等のプロセスが採用される。
For example, in terms of the C / F ratio, c-C n F 2n
Is advantageous in achieving high speed in comparison with c-C n F y, the c-C n F y Conversely advantageous in achieving high selectivity in comparison with c-C n F 2n . Therefore, (i) c-C n F 2n
Performed at high speed at a low temperature etching, or (b) alone gas system of c-C n F 2nn the etching of the silicon compound layer until just before the base is exposed with a mixed gas system was added a small amount of c-C n F y in, the remainder of the etching and over-etching and a two-step etching process performed with a high selectivity in a mixed gas system of c-C n F 2n and c-C n F y silicon-based compounds are employed.

【0033】あるいは、(ハ)上述(ロ)の2段階エッ
チングにおいて、後半のエッチングをc−Cn2nと堆
積性ガスの混合ガス系により行うプロセス等も採用する
ことができる。
[0033] Alternatively, it is possible to employ also a process for performing the two-step etching, the second half of the etching by a mixed gas system of the deposition gas and c-C n F 2n (iii) above (b).

【0034】また、c−Cn2n及びc−Cnyは、直
鎖状の飽和フルオロカーボン系化合物Cn2n+2と比べ
ればいずれもエッチング速度を低下させるものであるの
で、Cn2n+2をエッチング・ガスの主体とし、これに
c−Cn2n若しくはc−Cnyを添加ガスとして使用
することができる。この例としては、(ニ)Cn2n+2
とCn2n若しくはc−Cnyの混合ガスによる低温エ
ッチング、(ホ)下地が露出する直前まではシリコン化
合物層のエッチングをc−Cn2n+2の単独ガス系で高
速に行い、シリコン系化合物の残余部のエッチングとオ
ーバーエッチングとをc−Cn2n+2とc−Cn2n若し
くはc−Cnyとの混合ガス系により高選択比をもって
行う2段階エッチング等のプロセスがある。
Further, c-C n F 2n and c-C n F y, since both compared with linear saturated fluorocarbon compounds C n F 2n + 2 is intended to reduce the etch rate, C the n F 2n + 2 mainly of etching gas, it is possible to use c-C n F 2n or c-C n F y as an additive gas thereto. Examples of this are (d) C n F 2n + 2
C n F 2n or c-C n F y cold etching using a mixed gas, at high speed (e) until just before the base is exposed silicon compound layer etching alone gas system of c-C n F 2n + 2 with 2 step is performed, performing with a high selectivity by a mixed gas system of the etching and overetching of the remaining portion c-C n F 2n + 2 and c-C n F 2n or c-C n F y silicon compound There are processes such as etching.

【0035】[0035]

【作用】本発明で使用されるエッチング・ガスは、分子
構造の少なくとも一部に環状部を有する飽和若しくは不
飽和フルオロカーボン系ガスを含むものである。これら
のガスは、1分子内の炭素数が3以上であるから、本願
出願人が先に提案した高次フルオロカーボン・ガスと同
等あるいはそれ以上に1分子からのCFx +の生成量が多
くなる。したがって、エッチングの高速化が可能とな
る。また、かかるガスがプラズマ放電により解離される
と、モノラジカル、あるいは場合によってはカルベン等
の高活性なビラジカル(二端遊離基)も生成し、これら
が不飽和結合中のπ電子系を攻撃することにより炭素系
ポリマーの重合が促進される。この炭素系ポリマーは、
単結晶シリコンや多結晶シリコン等のシリコン系材料層
の表面やレジスト・パターンの表面に堆積すると、イオ
ン衝撃等によっても容易には除去されないが、酸化シリ
コン等のシリコン化合物層の表面では層内に含まれる酸
素がスパッタ・アウトされて炭素系ポリマーの分解に寄
与するため容易に除去される。したがって、炭素系ポリ
マーの堆積が増加すれば、対レジスト選択性及び対シリ
コン下地選択性が向上する。
The etching gas used in the present invention contains a saturated or unsaturated fluorocarbon-based gas having a cyclic portion in at least a part of its molecular structure. Since these gases have three or more carbon atoms in one molecule, the amount of CF x + generated from one molecule is greater than or equal to that of the higher order fluorocarbon gas proposed by the present applicant. . Therefore, the speed of the etching can be increased. Further, when such a gas is dissociated by plasma discharge, monoradicals or, in some cases, highly active biradicals (binary free radicals) such as carbene are also generated, and these attack the π-electron system in the unsaturated bond. This promotes the polymerization of the carbon-based polymer. This carbon-based polymer
When deposited on the surface of a silicon-based material layer such as single-crystal silicon or polycrystalline silicon or on the surface of a resist pattern, it is not easily removed by ion bombardment or the like. Oxygen contained therein is easily removed because it is sputtered out and contributes to decomposition of the carbon-based polymer. Therefore, as the deposition of the carbon-based polymer increases, the selectivity to resist and the selectivity to silicon underlayer improve.

【0036】ここで、C/F比が小さすぎると過剰なF
*により対下地選択比や対レジスト選択比が低下する。
従来は、エッチング反応系のC/F比を増大させるため
にH2や堆積性ガス等を添加していたが、本発明は添加
ガスを特に併用しなくとも、フルオロカーボン系ガスの
エッチング・ガスとして従来とは炭素骨格の異なるもの
を使用することでC/F比を増大させようとするもので
ある。
Here, if the C / F ratio is too small, excess F
* Lowers the selectivity to base or resist.
Conventionally, H 2 or a deposition gas has been added to increase the C / F ratio of the etching reaction system. However, the present invention does not require the additional gas to be used in combination as the etching gas for the fluorocarbon gas. An attempt is made to increase the C / F ratio by using a carbon skeleton different from the conventional one.

【0037】すなわち、本発明で使用される分子構造の
一部に環状部を有する飽和フルオロカーボン系化合物c
−Cn2nは、炭素数が同じならば直鎖状飽和フルオロ
カーボン系化合物Cn2n+2よりも1分子中のフッ素原
子数が2個少ない。また、本発明で使用される分子構造
の一部に環状部を有する不飽和フルオロカーボン系化合
物c−Cny(y≦2n−2)は、炭素数が同じならば
直鎖状飽和フルオロカーボン系化合物CnF2n+2よりも
1分子中のフッ素原子数が4個以上少ない。
That is, the saturated fluorocarbon compound c having a cyclic portion in a part of the molecular structure used in the present invention.
-C n F 2n is, two small number of fluorine atoms per molecule than the linear saturated fluorocarbon compounds C n F 2n + 2 If the same number of carbon atoms. Further, the present invention unsaturated fluorocarbon compound as a part of the molecular structure that is used has an annular portion with c-C n F y (y ≦ 2n-2) is a linear saturated fluorocarbon if the same number of carbon atoms The number of fluorine atoms in one molecule is smaller by 4 or more than the compound C n F2 n + 2 .

【0038】したがって、特に添加ガスを併用しなくと
も、エッチング反応系のC/F比を従来よりも低下させ
ることができる。
Accordingly, the C / F ratio of the etching reaction system can be reduced as compared with the conventional one without using any additional gas.

【0039】なお、本発明で使用される飽和フルオロカ
ーボン系化合物c−CnF2nは、本発明者が先に特願平
2−295225号明細書に提案している鎖状フルオロ
カーボン系化合物のうち、分子内に1個の2重結合を有
する化合物と同じ一般式で表されるものである。したが
って、これら両者のC/F比の増大効果に有意差が認め
られるか否かについては必ずしも明らかではないが、ラ
ズマ中における解離状態、被エッチング基体の表面に堆
積するポリマーの分子構造、選択性の温度依存性、下地
ダメージの発生状態に関しては有意差が現れる。
It should be noted, saturated fluorocarbon compounds c-C n F2 n used in the present invention, among the chain fluorocarbon compound present inventors have proposed in Japanese Patent Application No. Hei 2-295225 specification above Are represented by the same general formula as a compound having one double bond in the molecule. Therefore, it is not always clear whether a significant difference is observed in the effect of increasing the C / F ratio between the two, but the dissociation state in plasma, the molecular structure of the polymer deposited on the surface of the substrate to be etched, and the selectivity There is a significant difference in the temperature dependency of the substrate and the state of occurrence of substrate damage.

【0040】さらに本発明では、エッチング中の被エッ
チング基板の温度を冷却手段を用いて50℃以下に冷却
制御する。この温度制御は室温域でも、あるいは近年ド
ライエッチングの分野において注目されている低温エッ
チングのごとく0℃以下の温度域で行ってもよい。通
常、ドライエッチングの過程では冷却を特に行わなけれ
ば被エッチング基板の温度は200℃程度にも上昇す
る。温度を50℃以下に冷却制御すれば、炭化水素系ガ
ス等の堆積性ガスを使用しないかあるいはその使用量を
極めて少なくしても、蒸気圧の低下により効率良く炭素
系ポリマーを堆積させることができ、上述のように選択
性を向上させることができる。このことにより堆積性ガ
スの添加量を低減できるので、パーティクル汚染のおそ
れも少なくなる。
Further, in the present invention, the temperature of the substrate to be etched during the etching is controlled to be cooled to 50 ° C. or less by using a cooling means. This temperature control may be performed in a room temperature range or in a temperature range of 0 ° C. or lower as in low-temperature etching which has recently attracted attention in the field of dry etching. Usually, the temperature of the substrate to be etched rises to about 200 ° C. unless cooling is particularly performed in the process of dry etching. If the temperature is controlled to be 50 ° C. or less, even if a deposition gas such as a hydrocarbon gas is not used or the amount of the deposition gas is extremely small, the carbon polymer can be efficiently deposited by lowering the vapor pressure. As a result, selectivity can be improved as described above. As a result, the amount of deposition gas added can be reduced, so that the risk of particle contamination is also reduced.

【0041】特に、0℃以下に冷却して低温エッチング
を行えば、選択性の向上は一層顕著となる。レジスト材
料やシリコン系材料層のエッチングはF*(フッ素ラジ
カル)による化学反応を主体として進行するので、反応
系の温度が低下してラジカルの運動が抑制されるとエッ
チング速度も低下する。これに対し、酸化シリコン等の
シリコン化合物層のエッチングはイオンによるスパッタ
リングを主体として物理的に進行するので、冷却による
エッチング速度の低下はレジスト材料やシリコン系材料
ほど顕著ではない。したがって、低温域では選択比の一
層の向上が期待できる。
In particular, if the etching is performed at a low temperature of 0 ° C. or less, the selectivity is more remarkably improved. Since the etching of the resist material or the silicon-based material layer proceeds mainly by a chemical reaction due to F * (fluorine radical), when the temperature of the reaction system is reduced and the movement of the radical is suppressed, the etching rate is also reduced. On the other hand, since etching of a silicon compound layer such as silicon oxide physically proceeds mainly by sputtering with ions, the decrease in etching rate due to cooling is not as remarkable as that of a resist material or a silicon-based material. Therefore, further improvement of the selectivity can be expected in the low temperature range.

【0042】[0042]

【実施例】以下、本発明の具体的な実施例について説明
する。ここで、実施例1〜実施例3ではc−Cn2n
しくはc−Cnyを単独で用いるプロセス、実施例4で
はc−Cn2nとc−Cnyを組み合わせて用いるプロ
セス、実施例5ではc−Cn2nと堆積性ガスを組み合
わせて用いるプロセス、実施例6〜実施例8では鎖状飽
和フルオロカーボンであるCn2n+2にc−CnF2n
しくはc−Cnyを組み合わせて用いるプロセスをそれ
ぞれ説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described. Here, a combination of Examples 1 to 3 in a process using a c-C n F 2n or c-C n F y alone, C c-n in Example 4 F 2n and c-C n F y using a process, a process using a combination of deposition gas as in example 5, c-C n F 2n, a examples 6 8 in chain saturated fluorocarbon C n F 2n + 2 in c-C n F2 n or respectively illustrating a process using a combination of c-C n F y.

【0043】実施例1 本実施例は、本願の第1の発明をコンタクト・ホール加
工に適用し、前述の化1で示されるC48(オクタフ
ルオロシクロブタン,別名フロンC318,C/F比=
0.5)を使用して、酸化シリコンからなる層間絶縁膜
をエッチングした例である。このプロセスを、図1
(a)及び(b)を参照しながら説明する。
Embodiment 1 In this embodiment, the first invention of the present application is applied to contact hole processing, and C 4 F 8 (octafluorocyclobutane, also known as Freon C318, C / F ratio) =
0.5) is an example in which an interlayer insulating film made of silicon oxide is etched by using (0.5). This process is illustrated in FIG.
This will be described with reference to (a) and (b).

【0044】まず、図1(a)に示されるように、不純
物拡散層2が形成された単結晶シリコン基板1上に層間
絶縁膜3が形成され、さらに該層間絶縁膜3のエッチン
グ・マスクとしてレジスト・パターン4が形成されてな
る被エッチング基板(ウェハ)を用意した。上記レジス
ト・パターンには、所定のパターニングにより開口部4
aが設けられている。
First, as shown in FIG. 1A, an interlayer insulating film 3 is formed on a single-crystal silicon substrate 1 on which an impurity diffusion layer 2 has been formed, and further as an etching mask for the interlayer insulating film 3. A substrate to be etched (wafer) on which the resist pattern 4 was formed was prepared. The resist pattern is provided with openings 4 by predetermined patterning.
a is provided.

【0045】次に、上記ウェハを一例としてマグネトロ
ンRIE(反応性イオン・エッチング)装置のウェハ載
置電極上にセットした。ここで、上記ウェハ載置電極は
冷却配管を内蔵しており、装置外部に接続されるチラー
等の冷却装置から該冷却配管に冷媒を供給して循環させ
ることにより、エッチング中のウェハ温度を50℃以下
に制御することが可能となされているものである。ここ
では、冷媒としてエタノールを使用した。C48流量4
6SCCM、ガス圧2Pa、RFパワー密度2.2W/
cm2、磁場強度150Gauss、ウェハ温度0℃の
条件でエッチングを行った。ここで、C48の物性に関
しては文献により多少の差異があるが、融点は約−40
℃、沸点は約−6℃であり常温では気体の化合物であ
る。
Next, the above-mentioned wafer was set on a wafer mounting electrode of a magnetron RIE (reactive ion etching) apparatus as an example. Here, the wafer mounting electrode has a built-in cooling pipe, and by supplying a coolant to the cooling pipe from a cooling device such as a chiller connected to the outside of the apparatus and circulating the same, the wafer temperature during etching can be reduced by 50%. It is possible to control the temperature to below ° C. Here, ethanol was used as the refrigerant. C 4 F 8 Flow rate 4
6SCCM, gas pressure 2Pa, RF power density 2.2W /
The etching was performed under the conditions of cm 2 , a magnetic field strength of 150 Gauss, and a wafer temperature of 0 ° C. Here, there are some differences in the physical properties of C 4 F 8 depending on the literature, but the melting point is about −40.
The compound has a boiling point of about −6 ° C. and is a gaseous compound at room temperature.

【0046】上述のエッチング過程では、C48が放電
解離してプラズマ中に生成するCFx *により、層間絶縁
膜3のエッチングがイオン・アシスト反応を主体とする
機構により進行する。このとき、レジスト・パターン4
の表面においては炭素系ポリマー (図示せず。) が効率
良く堆積したが、上記開口部4a内に露出する層間絶縁
膜3の表面では自身のエッチング除去に伴って炭素系ポ
リマーも除去された。その結果、ガス系に炭化水素系ガ
ス等の堆積性ガスが添加されていないにもかかわらず、
図1(b)に示されるように良好な異方性形状を有する
コンタクト・ホール5が高速に形成された。このプロセ
スにおける層間絶縁膜のエッチング速度は701nm/
分、対レジスト選択比は3.5、対シリコン選択比は
7.2であった。
In the above-described etching process, the etching of the interlayer insulating film 3 proceeds by a mechanism mainly using an ion assist reaction due to CF x * generated in the plasma by discharge dissociation of C 4 F 8 . At this time, the resist pattern 4
Although the carbon-based polymer (not shown) was efficiently deposited on the surface of the interlayer insulating film 3 exposed in the opening 4a, the carbon-based polymer was also removed along with the etching itself. As a result, despite the fact that no deposition gas such as a hydrocarbon gas is added to the gas system,
As shown in FIG. 1B, a contact hole 5 having a favorable anisotropic shape was formed at a high speed. The etching rate of the interlayer insulating film in this process is 701 nm /
As a result, the selectivity to resist was 3.5 and the selectivity to silicon was 7.2.

【0047】ここで、比較のため、上記C48とフッ素
原子数の等しい鎖状飽和フルオロカーボン系化合物とし
てC38(オクタフルオロプロパン,C/F比=0.3
75)を選び、これを用いて上述と同じ条件にて層間絶
縁膜のエッチングを行ったところ、エッチング速度は7
34nm/分、対レジスト選択比は1.5、対シリコン
選択比は3.9であった。
For comparison, a chain saturated fluorocarbon compound having the same number of fluorine atoms as the above C 4 F 8 is C 3 F 8 (octafluoropropane, C / F ratio = 0.3
75), and using this to etch the interlayer insulating film under the same conditions as above, the etching rate was 7
The selectivity to resist was 1.5 and the selectivity to silicon was 3.9 at 34 nm / min.

【0048】これらC48とC38によるエッチングの
結果を比較すると、対レジスト選択比及び対シリコン選
択比についてはC48が格段に優れている。これは、C
48がC38よりもC/F比が大きく、選択比低下の原
因となる過剰なF*の生成が抑制されているからであ
る。一方、エッチング速度については、C48がC38
よりもわずかに劣っている。これは、C48を使用した
場合の方が炭素系ポリマーの堆積量が多く、該炭素系ポ
リマーのスパッタ除去とエッチングとが競合するからで
ある。しかし、エッチング速度の低下がわずかであるの
に対し、選択性の向上が著しいので環状飽和フルオロカ
ーボン系化合物を使用することの実用上の利点がある。
Comparing the results of etching with C 4 F 8 and C 3 F 8, C 4 F 8 is remarkably superior in selectivity to resist and selectivity to silicon. This is C
This is because 4F 8 has a larger C / F ratio than C 3 F 8 , and the generation of excessive F * which causes a decrease in the selectivity is suppressed. On the other hand, as for the etching rate, C 4 F 8 is changed to C 3 F 8
Slightly inferior. This is because the deposition amount of the carbon-based polymer is larger when C 4 F 8 is used, and there is competition between sputter removal and etching of the carbon-based polymer. However, there is a practical advantage to using a cyclic saturated fluorocarbon compound because the selectivity is significantly improved while the etching rate is slightly reduced.

【0049】実施例2 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、前述の化3で示されるC46(ヘキサフ
ルオロシクロブテン、別名フロンC1316、C/F比
=0.67)を使用して、酸化シリコンからなる層間絶
縁膜をエッチングした例である。
Embodiment 2 In this embodiment, the second invention of the present application is applied to contact hole processing, and C 4 F 6 (hexafluorocyclobutene, also known as Freon C1316, C / F This is an example in which an interlayer insulating film made of silicon oxide is etched using a ratio of 0.67).

【0050】前述の実施例1と同様のウェハをマグネト
ロンRIE装置にセットし、C46流量50SCCM、
ガス圧2Pa、RFパワー密度1.5W/cm2、磁場
強度150Gauss、ウェハ温度0℃の条件でエッチ
ングを行った。ここで、C46の物性に関しては文献に
より多少の差異があるが、融点は約−60℃,沸点は5
〜6℃であり、常温では気体の化合物である。
The same wafer as in the first embodiment was set in a magnetron RIE apparatus, and a C 4 F 6 flow rate of 50 SCCM was set.
Etching was performed under the conditions of a gas pressure of 2 Pa, an RF power density of 1.5 W / cm 2 , a magnetic field strength of 150 Gauss, and a wafer temperature of 0 ° C. Here, the physical properties of C 4 F 6 are slightly different depending on the literature, but the melting point is about −60 ° C. and the boiling point is 5
66 ° C. and is a gaseous compound at normal temperature.

【0051】このエッチングによっても良好な異方性形
状を有するコンタクト・ホールが形成された。このとき
の対レジスト選択比は約4、対シリコン選択比は約12
であり、いずれもC48を使用した場合(実施例1参
照。)よりもさらに向上した。これは、C48が分子内
に2重結合を1個有することによりC/F比が一段と増
大し、炭素系ポリマーの堆積が促進されたからである。
By this etching, a contact hole having a favorable anisotropic shape was formed. At this time, the selectivity to resist is about 4, and the selectivity to silicon is about 12
In each case, the results were further improved as compared with the case where C 4 F 8 was used (see Example 1). This is because C 4 F 8 has one double bond in the molecule, thereby further increasing the C / F ratio and promoting the deposition of the carbon-based polymer.

【0052】実施例3 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、前述の化3で示されるC58(オクタフ
ルオロシクロペンテン、別名フロン1418、C/F比
=0.625)を使用して、酸化シリコンからなる層間
絶縁膜をエッチングした例である。
Embodiment 3 In this embodiment, the second invention of the present application is applied to contact hole processing, and C 5 F 8 (octafluorocyclopentene, also known as Freon 1418, C / F ratio = 0.625) is used to etch an interlayer insulating film made of silicon oxide.

【0053】前述の実施例1と同様のウェハをマグネト
ロンRIE装置にセットし、C58流量50SCC、ガ
ス圧2P、RFパワー密度1.5W/cm2、磁場強度
150Gauss、ウェハ温度0℃の条件でエッチング
を行った。ここで、C58の物性に関しては文献により
かなりの差異があるが、融点は約−40℃,沸点は約6
℃であり、常温では気体の化合物である。
The same wafer as in the first embodiment was set in a magnetron RIE apparatus, and a C 5 F 8 flow rate of 50 SCC, a gas pressure of 2 P, an RF power density of 1.5 W / cm 2 , a magnetic field strength of 150 Gauss, and a wafer temperature of 0 ° C. Etching was performed under the conditions. Here, the physical properties of C 5 F 8 vary considerably depending on the literature, but the melting point is about −40 ° C. and the boiling point is about 6 ° C.
° C and is a gaseous compound at normal temperature.

【0054】このエッチングによっても良好な異方性形
状を有するコンタクト・ホールが形成された。このとき
のエッチング速度は、C46を使用した場合(実施例2
参照。)よりも増大した。これはC58の方がC46
りもC/F比が低いことと対応している。
By this etching, a contact hole having a favorable anisotropic shape was formed. The etching rate at this time is the case where C 4 F 6 is used (Example 2).
reference. ). This corresponds to the fact that C 5 F 8 has a lower C / F ratio than C 4 F 6 .

【0055】以上の実施例1ないし実施例3では、いず
れも単独ガス系によるエッチング例について述べた。こ
れらのガス系には堆積性ガスが添加されておらず、枚葉
式のエッチング装置において複数のウェハに対する処理
数を重ねた後でもパーティクル・レベルが悪化すること
がないので、デバイスの歩留りが向上し、また装置の保
守管理に要する時間を大幅に短縮することができる。
In the first to third embodiments described above, the etching examples using a single gas system have been described. No deposition gas is added to these gas systems, and the particle level does not deteriorate even after the number of processes performed on multiple wafers in a single-wafer etching apparatus, thereby improving the device yield. In addition, the time required for maintenance of the apparatus can be greatly reduced.

【0056】実施例4 本実施例は、本願の第1の発明及び第2の発明の応用例
として、C48を用いて層間絶縁膜を下地が露出する直
前まで行った後、C48とC46の混合ガスにより該層
間絶縁膜の残余部のエッチング及びオーバーエッチング
を行った例である。このプロセスを前述の図1(a)及
び(b)に加え、図2を参照しながら説明する。
[0056] Example 4 This example after the application of the first invention and second invention of the present application, were carried out immediately before the base is exposed interlayer insulating film by using a C 4 F 8, C 4 This is an example in which the remaining portion of the interlayer insulating film is etched and over-etched by using a mixed gas of F 8 and C 4 F 6 . This process will be described with reference to FIG. 2 in addition to FIGS. 1A and 1B described above.

【0057】まず、図1(a)に示されるウェハをマグ
ネトロンRIE装置にセットし、C48流量50SCC
M、ガス圧2Pa、RFパワー密度2.0W/cm2
ウェハ温度20℃の条件で、層間絶縁膜3のエッチング
を単結晶シリコン基板1、正確には不純物拡散層2が露
出する直前まで行った。このときのエッチング終点は、
483.5nmにおけるCO* の発光スペクトル強度が
減少し始める点をもって判定した。この1段階目のエッ
チングの結果、ウェハの状態は図2に示されるように、
コンタクト・ホール5が中途部まで形成され、その底部
に層間絶縁膜3の残余部3aが残された状態となった。
First, the wafer shown in FIG. 1A was set in a magnetron RIE apparatus, and a C 4 F 8 flow rate of 50 SCC was set.
M, gas pressure 2 Pa, RF power density 2.0 W / cm 2 ,
At a wafer temperature of 20 ° C., the etching of the interlayer insulating film 3 was performed until just before the single crystal silicon substrate 1, more precisely, the impurity diffusion layer 2 was exposed. The etching end point at this time is
Judgment was made at the point where the emission spectrum intensity of CO * at 483.5 nm began to decrease. As a result of this first stage etching, the state of the wafer is as shown in FIG.
The contact hole 5 was formed halfway, and the remaining portion 3a of the interlayer insulating film 3 was left at the bottom.

【0058】次に、C48流量40SCCM、C46
量10SCCM、RFパワー密度1.0W/cm2、ウ
ェハ温度20℃の条件で、上記残余部3aのエッチング
及びオーバーエッチングを行った。この2段階目のエッ
チングの結果、前述の図1(b)に示されるように、下
地の不純物拡散層2にダメージを与えることなく、良好
な異方性形状を有するコンタクト・ホール5が形成され
た。
Next, under the conditions of a C 4 F 8 flow rate of 40 SCCM, a C 4 F 6 flow rate of 10 SCCM, an RF power density of 1.0 W / cm 2 , and a wafer temperature of 20 ° C., the remaining portion 3a was etched and over-etched. . As a result of this second-stage etching, as shown in FIG. 1B, a contact hole 5 having a favorable anisotropic shape is formed without damaging the underlying impurity diffusion layer 2. Was.

【0059】上述のプロセスは、1段階目のエッチング
はある程度高速に行い、2段階目のエッチングにおいて
はC/F比の高いガスを添加し、RFパワー密度を低下
させて入射イオン・エネルギーを低減することにより対
下地選択比を高めるという考え方に基づいている。した
がって、0℃以下に及ぶウェハ冷却は行っていないが、
高異方性と高選択性とが達成された。
In the above-described process, the first stage etching is performed at a relatively high speed, and in the second stage etching, a gas having a high C / F ratio is added to lower the RF power density to reduce the incident ion energy. To increase the selectivity ratio to the background. Therefore, although wafer cooling down to 0 ° C. or less is not performed,
High anisotropy and high selectivity were achieved.

【0060】実施例5 本実施例は、本願の第1の発明の応用例として実施例4
と同様の2段階エッチングを行い、1段階目のエッチン
グでC48、2段階目のエッチングでC48とC24
の混合ガスを用いてコンタクト・ホール加工を行った例
である。
Embodiment 5 This embodiment is directed to Embodiment 4 as an application of the first invention of the present application.
Example in which a contact hole processing using the same for 2-step etching, a mixed gas of C 4 F 8 and C 2 H 4 in C 4 F 8, 2-stage etching in the first stage etching and It is.

【0061】1段階目のエッチング条件は、C48流量
50SCCM、ガス圧2Pa、RFパワー密度2.0W
/cm2ウェハ温度20℃とした。2段階目のエッチン
グ条件は、C48流量46SCCM、C24流量4SC
CM、RFパワー密度1.0W/cm2、ウェハ温度2
0℃とした。
The first stage etching conditions were as follows: C 4 F 8 flow rate 50 SCCM, gas pressure 2 Pa, RF power density 2.0 W
/ Cm 2 The wafer temperature was 20 ° C. The second stage etching conditions were as follows: C 4 F 8 flow rate 46 SCCM, C 2 H 4 flow rate 4 SC
CM, RF power density 1.0 W / cm 2 , wafer temperature 2
0 ° C.

【0062】ここで、2段階目のエッチングにおいて添
加されているC24は、自身が堆積性ガスであることに
加えて放電分解によりH*を生成させ、過剰なF*を捕捉
してエッチング反応系のC/F比を増大させる効果を有
する。本実施例によっても、高異方性、高選択性、低ダ
メージ性とが達成された。
Here, C 2 H 4 added in the second-stage etching generates H * by discharge decomposition in addition to the deposition gas itself, and captures excess F *. This has the effect of increasing the C / F ratio of the etching reaction system. According to this example, high anisotropy, high selectivity, and low damage were achieved.

【0063】実施例6 本実施例は、本願の第1の発明の応用例であるが、エッ
チング・ガスの主体は鎖状飽和フルオロカーボンである
38とし、これにC48を添加した混合ガスにより低
温エッチングを行ってコンタクト・ホールを形成した例
である。
Embodiment 6 This embodiment is an application of the first invention of the present application. The main component of the etching gas is C 3 F 8 which is a chain saturated fluorocarbon, to which C 4 F 8 is added. This is an example in which a contact hole is formed by performing low-temperature etching using a mixed gas.

【0064】エッチング条件は、C38流量30SCC
M、C48流量20SCCM、ガス圧2Pa、RFパワ
ー密度1.5W/cm2ウェハ温度−30℃とした。
The etching conditions are as follows: C 3 F 8 flow rate 30 SCC
M, C 4 F 8 The flow rate was 20 SCCM, the gas pressure was 2 Pa, the RF power density was 1.5 W / cm 2 and the wafer temperature was −30 ° C.

【0065】このプロセスは、高速性を重視してC/F
比の相対的に低いC38をガス組成の主体とする一方
で、高選択性と低ダメージ性とを達成するためにC/F
比の相対的に高いC48を添加し、ウェハの低温冷却を
行ったものである。本実施例により、高速性,高異方
性、高選択性、低ダメージ性とが達成された。
In this process, the C / F
While C 3 F 8 having a relatively low ratio is mainly used for the gas composition, C / F is used to achieve high selectivity and low damage.
C 4 F 8 having a relatively high ratio was added, and the wafer was cooled at a low temperature. According to this example, high speed, high anisotropy, high selectivity, and low damage were achieved.

【0066】実施例7 本実施例は、本願の第1の発明の応用例であるが、実施
例6と同じくエッチング・ガスの主体はC38とし、こ
れにC714(化1参照。)を添加した混合ガスによ
り低温エッチングを行いコンタクト・ホールを形成した
例である。
Embodiment 7 This embodiment is an application of the first invention of the present application. As in Embodiment 6, the main component of the etching gas is C 3 F 8 , which is replaced with C 7 F 14 (Chemical Formula 1). This is an example in which a contact hole is formed by performing low-temperature etching using a mixed gas to which a contact hole is added.

【0067】エッチング条件は、C38流量45SCC
M、C714流量5SCCM、ガス圧2Pa、RFパワ
ー密度1.5W/cm2ウェハ温度−30℃とした。本
実施例により、高速性、高異方性、高選択性、低ダメー
ジ性とが達成された。
The etching conditions were as follows: C 3 F 8 flow rate 45 SCC
M, C 7 F 14 The flow rate was 5 SCCM, the gas pressure was 2 Pa, the RF power density was 1.5 W / cm 2 and the wafer temperature was −30 ° C. According to this example, high speed, high anisotropy, high selectivity, and low damage were achieved.

【0068】実施例8 本実施例は、本願の第2の発明の応用例であるが、実施
例6と同じくエッチング・ガスの主体はC38とし、こ
れにC46を添加した混合ガスにより低温エッチングを
行い、コンタクト・ホール加工を形成した例である。
Embodiment 8 This embodiment is an application of the second invention of the present application. As in Embodiment 6, the etching gas is mainly composed of C 3 F 8, and C 4 F 6 is added thereto. This is an example in which low-temperature etching is performed with a mixed gas to form a contact hole.

【0069】エッチング条件は、C38流量25SCC
M、C46流量25SCCM、ガス圧2Pa、RFパワ
ー密度1.5W/cm2ウェハ温度−30℃とした。本
実施例により、高速性、高異方性、高選択性、低ダメー
ジ性とが達成された。
The etching conditions are as follows: C 3 F 8 flow rate 25 SCC
M, C 4 F 6 flow rate was 25 SCCM, gas pressure was 2 Pa, RF power density was 1.5 W / cm 2 and wafer temperature was −30 ° C. According to this example, high speed, high anisotropy, high selectivity, and low damage were achieved.

【0070】以上、本発明を8つの実施例を挙げて説明
したが、本発明はこれらの実施例に限定されるものでは
なく、上述のガス系において、エッチング速度を制御す
るためにさらにH2やO2ガス等を添加してもよい、ま
た、スパッタリング効果、希釈効果、冷却効果等を図る
ため、He、Ar等の希ガスを適宜添加してもよい。
Although the present invention has been described with reference to the eight embodiments, the present invention is not limited to these embodiments. In the above-described gas system, H 2 is further added to control the etching rate. Or an O 2 gas or the like, or a rare gas such as He or Ar may be added as appropriate in order to achieve a sputtering effect, a dilution effect, a cooling effect, or the like.

【0071】さらに、被エッチング材料層は上述の酸化
シリコンに限られるものではなく、PSG、BSG、B
PSG、AsSG、AsPSG、AsBSG、SiN等
であってもよい。
Further, the material layer to be etched is not limited to the above silicon oxide, but may be PSG, BSG, B
It may be PSG, AsSG, AsPSG, AsBSG, SiN, or the like.

【0072】[0072]

【発明の効果】以上の説明からも明らかなように、本発
明では分子構造の一部に環状部を有する飽和若しくは不
飽和フルオロカーボン系化合物を使用することにより、
高速エッチングが可能となる。しかも、化合物自身の炭
素骨格によりある程度大きいC/F比を有するものであ
り、基本的にはC/F比を増大させるための添加ガスを
使用しなくとも高選択比を達成することができる。した
がって、エッチング反応の制御やエッチング装置の保守
管理等が極めて容易となる。しかも、本発明では、被エ
ッチング基板を冷却手段により冷却制御しながらがエッ
チングが行われるので、高異方性、低ダメージ性も併せ
て達成される。
As is apparent from the above description, the present invention uses a saturated or unsaturated fluorocarbon compound having a cyclic portion in a part of the molecular structure,
High-speed etching becomes possible. Moreover, the compound has a somewhat high C / F ratio due to the carbon skeleton of the compound itself, and can basically achieve a high selectivity without using an additive gas for increasing the C / F ratio. Therefore, control of the etching reaction, maintenance of the etching apparatus, and the like become extremely easy. Moreover, in the present invention, etching is performed while cooling the substrate to be etched by the cooling means, so that high anisotropy and low damageability are also achieved.

【0073】したがって、本発明は高性能,高集積度を
有する半導体装置の製造に極めて有効である。
Therefore, the present invention is extremely effective for manufacturing a semiconductor device having high performance and high integration.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明をコンタクト・ホール加工に適用した一
例をその工程順にしたがって説明する概略断面図であ
り、(a)は層間絶縁膜上にレジスト・パターンが形成
された状態、(b)はコンタクト・ホールが形成された
状態をそれぞれ表す。
FIGS. 1A and 1B are schematic cross-sectional views illustrating an example in which the present invention is applied to contact hole processing in the order of steps, in which FIG. 1A is a state in which a resist pattern is formed on an interlayer insulating film, and FIG. The state in which a contact hole is formed is shown.

【図2】本発明を2段階エッチングによるコンタクト・
ホール加工に適用した場合において、コンタクト・ホー
ルが途中まで形成された状態を示す概略断面図である。
FIG. 2 illustrates a method of forming a contact according to the present invention using two-step etching.
FIG. 11 is a schematic cross-sectional view showing a state where a contact hole is formed halfway when applied to hole processing.

【符号の説明】[Explanation of symbols]

1 単結晶シリコン基板、 2 不純物拡散層、 3
層間絶縁膜、 3a(層間絶縁膜の) 残余部、 4 レ
ジスト・パターン、 4a 開口部、 5コンタクト・
ホール
1 single crystal silicon substrate, 2 impurity diffusion layer, 3
Interlayer insulating film, 3a (interlayer insulating film) residue, 4 resist pattern, 4a opening, 5 contact
hole

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 分子構造の少なくとも一部に環状部を有
する飽和フルオロカーボン系化合物を含むエッチング・
ガスを用い、被エッチング基体を冷却手段から供給され
る冷媒によって冷却制御しながら基板上に形成されたシ
リコン化合物層のエッチングを行うことを特徴とするド
ライエッチング方法。
An etching method comprising a saturated fluorocarbon-based compound having a cyclic portion in at least a part of its molecular structure.
A dry etching method, wherein a silicon compound layer formed on a substrate is etched using a gas while controlling the substrate to be etched with a cooling medium supplied from a cooling unit.
【請求項2】 上記被エッチング基体を50℃以下に冷
却制御しながら上記シリコン化合物層のエッチングを行
うことを特徴とする請求項1記載のドライエッチング方
法。
2. The dry etching method according to claim 1, wherein the silicon compound layer is etched while cooling the substrate to be etched to 50 ° C. or less.
【請求項3】 分子構造の少なくとも一部に環状部を有
する不飽和フルオロカーボン系化合物を含むエッチング
・ガスを用い、被エッチング基体を冷却手段から供給さ
れる冷媒によって冷却制御しながら基板上に形成された
シリコン化合物層のエッチングを行うことを特徴とする
ドライエッチング方法。
3. An etching gas containing an unsaturated fluorocarbon-based compound having a cyclic portion in at least a part of its molecular structure is formed on a substrate while controlling the cooling of a substrate to be etched by a cooling medium supplied from a cooling means. Dry etching method characterized by etching a silicon compound layer which has been etched.
【請求項4】 上記被エッチング基体を50℃以下に冷
却制御しながら上記シリコン化合物層のエッチングを行
うことを特徴とする請求項3記載のドライエッチング方
法。
4. The dry etching method according to claim 3, wherein the silicon compound layer is etched while cooling the substrate to be etched to 50 ° C. or lower.
JP37505199A 1999-01-01 1999-12-28 Dry etching method Pending JP2000150465A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP37505199A JP2000150465A (en) 1999-01-01 1999-12-28 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP37505199A JP2000150465A (en) 1999-01-01 1999-12-28 Dry etching method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP3040966A Division JP3038950B2 (en) 1991-02-12 1991-02-12 Dry etching method

Publications (1)

Publication Number Publication Date
JP2000150465A true JP2000150465A (en) 2000-05-30

Family

ID=18504888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP37505199A Pending JP2000150465A (en) 1999-01-01 1999-12-28 Dry etching method

Country Status (1)

Country Link
JP (1) JP2000150465A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049771A (en) * 2004-08-09 2006-02-16 Tokyo Electron Ltd Etching gas, etching method, and method for estimating etching gas
JP2006278436A (en) * 2005-03-28 2006-10-12 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, control program, computer recording medium, and recording medium recorded with treatment recipe
JP2007012819A (en) * 2005-06-29 2007-01-18 Toshiba Corp Dry etching method
JP2009513348A (en) * 2005-11-01 2009-04-02 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー Solvent composition containing unsaturated fluorinated hydrocarbons
US7794616B2 (en) 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049771A (en) * 2004-08-09 2006-02-16 Tokyo Electron Ltd Etching gas, etching method, and method for estimating etching gas
US7794616B2 (en) 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
JP2006278436A (en) * 2005-03-28 2006-10-12 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, control program, computer recording medium, and recording medium recorded with treatment recipe
JP4663368B2 (en) * 2005-03-28 2011-04-06 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP2007012819A (en) * 2005-06-29 2007-01-18 Toshiba Corp Dry etching method
JP2009513348A (en) * 2005-11-01 2009-04-02 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー Solvent composition containing unsaturated fluorinated hydrocarbons

Similar Documents

Publication Publication Date Title
JP3038950B2 (en) Dry etching method
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100778260B1 (en) Process for the post etch stripping of photoresist with hydrogen
US6841483B2 (en) Unique process chemistry for etching organic low-k materials
US6893969B2 (en) Use of ammonia for etching organic low-k dielectrics
KR100255405B1 (en) Dry etching method
US6620733B2 (en) Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
TWI495010B (en) Sidewall and chamfer protection during hard mask removal for interconnect patterning
US20050079706A1 (en) Dual damascene structure and method
JP2002520853A (en) Particularly useful for double inlays, dielectric multilayer plasma etch process
JP2007537602A (en) Carbon doped silicon oxide etching using H2 additive in fluorocarbon chemical etching
JP2007235135A (en) Etching method for forming anisotropic feature for high aspect ratio
JP3154128B2 (en) Dry etching method
JP3198538B2 (en) Dry etching method
JP3160961B2 (en) Dry etching method
US7091612B2 (en) Dual damascene structure and method
JP2687787B2 (en) Dry etching method
JP2000150465A (en) Dry etching method
US6743725B1 (en) High selectivity SiC etch in integrated circuit fabrication
KR101179111B1 (en) Etching method and recording medium
JPH04170026A (en) Dry etching
JPH06163476A (en) Dry etching method
JP3298205B2 (en) Dry etching method
JP3208596B2 (en) Dry etching method
WO2002049089A1 (en) Method of etching porous insulating film, dual damascene process, and semiconductor device

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020416