JP3116570B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JP3116570B2
JP3116570B2 JP04170979A JP17097992A JP3116570B2 JP 3116570 B2 JP3116570 B2 JP 3116570B2 JP 04170979 A JP04170979 A JP 04170979A JP 17097992 A JP17097992 A JP 17097992A JP 3116570 B2 JP3116570 B2 JP 3116570B2
Authority
JP
Japan
Prior art keywords
etching
carbon
wafer
based polymer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP04170979A
Other languages
English (en)
Other versions
JPH0613350A (ja
Inventor
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP04170979A priority Critical patent/JP3116570B2/ja
Publication of JPH0613350A publication Critical patent/JPH0613350A/ja
Application granted granted Critical
Publication of JP3116570B2 publication Critical patent/JP3116570B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
微細なホール加工等においてマイクロローディング効果
を抑制し、かつ選択性、高速性、低ダメージ性、低汚染
性のいずれにも優れるシリコン化合物層のドライエッチ
ング方法に関する。
【0002】
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコン(SiO2 )に代表されるシリコ
ン化合物層のドライエッチングについても技術的要求が
ますます厳しくなっている。まず、高集積化によりデバ
イス・チップの面積が拡大しウェハが大口径化している
こと、形成すべきパターンが高度に微細化されウェハ面
内の均一処理が要求されていること、またASICに代
表されるように多品種少量生産が要求されていること等
の背景から、ドライエッチング装置の主流は従来のバッ
チ式から枚葉式に移行しつつある。この際、従来と同等
の生産性を維持するためには、ウェハ1枚当たりのエッ
チング速度を大幅に向上させなければならない。
【0003】また、デバイスの高速化や微細化を図るた
めに不純物拡散領域の接合深さが浅くなり、また各種の
材料層も薄くなっている状況下では、従来以上に対下地
選択性に優れダメージの少ないエッチング技術が要求さ
れる。たとえば、半導体基板内に形成された不純物拡散
領域や、SRAMの抵抗負荷素子として用いられるPM
OSトランジスタのソース・ドレイン領域等にコンタク
トを形成しようとする場合等に、シリコン基板や多結晶
シリコン層を下地として行われるSiO2 層間絶縁膜の
エッチングがその例である。
【0004】従来からSiO2 系材料層のエッチング
は、強固なSi−O結合を切断するために、イオン性を
高めたモードで行われている。典型的なエッチング・ガ
スは、CHF3 ,CF4 等であり、これらから生成する
CFx + の入射イオン・エネルギーを使用している。し
かし、高速エッチングを行うためにはこの入射イオン・
エネルギーを高めることが必要であり、エッチング反応
が物理的なスパッタ反応に近くなるため、高速性と選択
性とが常に背反していた。
【0005】そこで通常は、エッチング・ガスにH2
堆積性の炭化水素系ガス等を添加してエッチング反応系
の見掛け上のC/F比(炭素原子数とフッ素原子数の
比)を増大させ、エッチング反応と競合して起こる炭素
系ポリマーの堆積を促進することにより高選択性を達成
している。
【0006】これら従来のエッチング・ガスに代わり、
本願出願人は先に特開平3−276626号公報におい
て、炭素数2以上の飽和ないし不飽和の高次鎖状フルオ
ロカーボン系ガスを使用するシリコン化合物層のドライ
エッチング方法を提案している。これは、C2 6 ,C
3 8 ,C4 10,C4 8 等のフルオロカーボン系ガ
スを使用することにより1分子から大量のCFx + を効
率良く生成させ、エッチングの高速化を図ったものであ
る。ただし、高次鎖状フルオロカーボン系ガスを単独で
使用するのみではF* の生成量も多くなり、対レジスト
選択比および対シリコン下地選択比を十分に大きくとる
ことができない。たとえばC3 8 をエッチング・ガス
としてシリコン基板上のSiO2 層をエッチングした場
合、高速性は達成されるものの、対レジスト選択比が
1.3程度と低く、エッチング耐性が不足する他、パタ
ーン・エッジの後退により寸法変換差が発生してしま
う。また、対シリコン選択比も4.2程度であるので、
オーバーエッチング耐性にも問題が残る。
【0007】そこで、これらの問題を解決するために上
記の先行技術では高次鎖状フルオロカーボン系ガス単独
によるエッチングは下地が露出する直前で停止し、シリ
コン化合物層の残余部をエッチングする際には炭素系ポ
リマーの堆積を促進するために上記化合物にさらにエチ
レン(C2 4 )等の炭化水素系ガスを添加するとい
う、2段階エッチングも行われている。これは、エッチ
ング反応系内にC原子を補給すると共に、プラズマ中に
生成するH* で過剰のF* を消費してHFに変化させ、
見掛け上のC/F比を高めることを目的としているので
ある。
【0008】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。
【0009】かかる観点から、本発明者は先に特願平2
−295225号明細書において、被処理基板の温度を
50℃以下に制御した状態で、分子内に少なくとも1個
の不飽和結合を有する鎖状不飽和フルオロカーボン化合
物を用いてシリコン化合物層をエッチングする技術を提
案している。上記鎖状不飽和フルオロカーボン化合物と
は、たとえばオクタフルオロブテン(C4 8 )やヘキ
サフルオロプロペン(C3 6 )等である。これらのガ
スは、放電解離により理論上は1分子から2個以上のC
x + を生成するので、SiO2 を高速にエッチングす
ることができる。また、分子内に不飽和結合を有するこ
とから解離により高活性なラジカルを生成させ易く、炭
素系ポリマーの重合が促進される。しかも、被処理基板
の温度が50℃以下に制御されていることにより、上記
炭素系ポリマーの堆積が促進される。
【0010】この技術により、堆積性ガスを用いること
なく対レジスト選択性および対シリコン下地選択性を大
幅に向上させることができ、パーティクル汚染も低減す
ることができた。
【0011】さらに、本発明者は先に特願平3−409
66号明細書において、分子構造の少なくとも一部に環
状部を有する飽和ないし不飽和フルオロカーボン化合物
を含むエッチング・ガスを用いる技術を提案している。
環状フルオロカーボン化合物は少なくとも炭素数が3以
上であり、しかも炭素数の等しい鎖状フルオロカーボン
化合物と比べてC/F比が高いので、大量のCFx +
よる高速エッチングと、効率良いポリマー生成による高
選択エッチングが可能となる。
【0012】
【発明が解決しようとする課題】このように、上述の鎖
状不飽和フルオロカーボン化合物、あるいは環状フルオ
ロカーボン化合物は、シリコン化合物層の高選択エッチ
ングを単独組成のエッチング・ガスで行うことを可能と
した。しかしながら、今後のさらに微細化したデザイン
・ルールの下では、たとえばコンタクト・ホール加工の
被エッチング面積がウェハ面積の5%にも満たなくなる
ほど小さくなり、マイクロローディング効果が顕在化す
ることが予想される。この問題を、図2を参照しながら
説明する。
【0013】図2(a)は、一例として下層配線11上
にSiO2 層間絶縁膜12が形成され、さらにその上に
所定の形状にパターニングされたレジスト・マスク13
が形成された状態のウェハを示している。ここで、上記
レジスト・マスク13には、開口径約0.35μmの第
1の開口部13aと、開孔径約0.8μmの第2の開口
部13bが形成されている。
【0014】次に、従来公知の高次フルオロカーボン化
合物系を用いて上記SiOx 層間絶縁膜12をエッチン
グすると、図2(b)に示されるように、第2の開口部
13bの内部では炭素系ポリマーからなる側壁保護膜1
4が形成されながら高速異方性エッチングが進行し、第
2の接続孔12bが形成される。しかし、第2の接続孔
12bが完成した時点でも、第1の開口部13a内では
第1の接続孔12aの形成が終了せず、残余部12cが
残る状態がしばしば観察される。これがマイクロローデ
ィング効果である。この原因は、微細なパターンの内部
において活性種の入射効率が低下すること、および炭素
系ポリマーの堆積量が過剰となることにある。炭素系ポ
リマーは本来、SiOx 系材料層の表面では堆積がある
程度抑制されている。これは、SiOx 系材料層からス
パッタ放出されるO原子により、炭素系ポリマーが燃焼
反応を起こして除去されるからである。しかし、上述の
ような微細な第1の接続孔12a内では、被エッチング
面積が小さいためにスパッタ放出されるO原子の量も少
なく、炭素系ポリマーの堆積が過剰となり側壁保護膜1
4が厚く形成されてしまうのである。このことは、側壁
面のテーパー化も招く。つまり、炭素系ポリマーの絶え
間無い蓄積が、実質的なマスク幅を常に増大させる効果
をもたらすからである。上述のようなテーパー化は、接
続孔の開口径を縮小し、コンタクト抵抗を増大させる原
因となる。
【0015】この後、第1の接続孔12aの底部におい
て残余部12cが除去されるまでエッチングを続けたと
すると、第2の接続孔12bの底面では図3(c)に示
されるように、下層配線11がスパッタ除去されてしま
う。かかるスパッタ除去は、下層配線11の層厚を減ず
ることはもちろんであるが、スパッタ生成物が接続孔の
側壁面上に堆積して再付着層を形成すると、この再付着
物層により上層配線の形成が困難となったり、パーティ
クルが増大する原因となる。
【0016】さらに別の課題として、パーティクル汚染
を一層低減させることが必要である。鎖状不飽和フルオ
ロカーボン化合物、環状フルオロカーボン化合物等を用
いる技術は、選択比確保のメカニズムがエッチング反応
と競合的に進行する炭素系ポリマーの堆積によって達成
される点では従来と何ら変わりがない。したがって、ウ
ェハ処理枚数を重ねればやはりエッチング・チャンバ内
に炭素系ポリマーが蓄積され、パーティクル・レベルが
悪化してしまうのである。したがって、パーティクル汚
染が低減できたとしても、エッチング・チャンバをクリ
ーニングするためのメンテナンス頻度が減少するといっ
た程度の改善にとどまっているのが現状である。
【0017】そこで本発明は、マイクロローディング効
果を抑制し、高速性,高選択性,低ダメージ性,低汚染
性に優れるシリコン化合物層のドライエッチング方法を
提供することを目的とする。
【0018】
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
であり、炭素数2以上のフルオロカーボン側鎖を有する
スルホン酸、炭素数2以上のフルオロカーボン側鎖を有
するスルホン酸ハロゲン化物、炭素数2以上のフルオロ
カーボン側鎖を有するスルホン酸無水物から選ばれる少
なくとも1種類の化合物を含むエッチング・ガスを用い
てシリコン化合物層をエッチングすることを特徴とす
る。
【0019】本発明はまた、前記エッチング・ガスが、
一酸化炭素、分子内にカルボニル基,チオニル基,スル
フリル基,ニトロ基,ニトロシル基の少なくとも1種類
の官能基とハロゲン原子とを有しこれら官能基にハロゲ
ン原子が直接結合した構造を有するハロゲン化物、硫化
カルボニルから選ばれる少なくとも1種類の化合物を含
むことを特徴とする。
【0020】本発明はさらに、前記エッチング・ガス
が、S2 2 、SF2 、SF4 、S210から選ばれる
少なくとも1種類のフッ化イオウを含み、エッチング反
応系に堆積可能なSを供給しながらエッチングを行うこ
とを特徴とする。
【0021】ここで、上記フルオロカーボン側鎖は一般
式−Cx y で表される。ただし、x,yはいずれも自
然数であり、y≦2x+1の条件を満たす。つまり、そ
の炭素骨格は低次または高次の別、直鎖状または分枝状
の別、鎖状または環状の別、飽和または不飽和の別をい
ずれも問わない。たとえば、y=2x+1の場合は、上
記フルオロカーボン側鎖は直鎖状または分枝状のパーフ
ルオロアルキル基に相当する。また、y<2x+1の場
合は鎖状不飽和も構造上可能となり、特にx≧3の場合
には環状飽和や環状不飽和も可能となる。
【0022】炭素数xの上限は特に制限されるものでは
ないが、そのままあるいは気化させた状態で容易にエッ
チング反応系へ導入することができ、しかも分子内のC
/S比(C原子数とS原子数の比)やC/O比(C原子
数とO原子数の比)が大きくなり過ぎない範囲で適宜選
択すれば良い。このことは、後述するように、炭素系ポ
リマーの過剰な堆積をO* による燃焼とSによる堆積物
の一部代替により防止するという本発明の意図を徹底さ
せる上で重要である。
【0023】上記ハロゲン化合物のうち分子内にカルボ
ニル基を1個有するものとしては、COF2 (フッ化カ
ルボニル)、COCl2 (塩化カルボニル、別名ホスゲ
ン),COBr2 (臭化カルボニル;液体),COCl
F(塩化フッ化カルボニル),COBrF(臭化フッ化
カルボニル),COIF(ヨウ化フッ化カルボニル;液
体)等がある。また、分子内にカルボニル基を2個有す
るものとしては、C22 2 (フッ化オキサリル;液
体),C2 Cl2 2 (塩化オキサリル;液体),C2
Br2 2 (臭化オキサリル;液体)等がある。
【0024】分子内にチオニル基を有するハロゲン化合
物としては、SOF2 (フッ化チオニル),SOF
4 (四フッ化チオニル),SOCl2 (塩化チオニル;
液体),SOBr2 (臭化チオニル;液体),SOCl
Br(塩化臭化チオニル;液体)等がある。分子内にス
ルフリル基を有するハロゲン化合物としては、SO2
2 (フッ化スルフリル),SO2 Cl2 (塩化スルフリ
ル;液体),SO2 ClF(塩化フッ化スルフリル),
SO2 BrF(臭化フッ化スルフリル;液体)等があ
る。
【0025】分子内にニトロシル基を有するハロゲン化
合物としては、NOF(フッ化ニトロシル),NOCl
(塩化ニトロシル),NOCl2 (二塩化ニトロシ
ル),NOCl3 (三塩化ニトロシル),NOBr(臭
化ニトロシル;液体)等がある。さらに、分子内にニト
リル基を有するハロゲン化合物としては、NO2 F(フ
ッ化ニトリル),NO2 Cl(塩化ニトリル),NO2
Br(臭化ニトリル)等がある。
【0026】なお、上記日本語名の後に「液体」と記載
した化合物は常温で液体物質なので、He等の不活性ガ
スよるバブリングを行って気化させた後、エッチング・
チャンバ内へ導入すれば良い。「液体」の記載の無いも
のは、すべて気体である。
【0027】
【作用】本発明者はまず、微細なパターンの内奥部にお
ける炭素系ポリマーの過剰な堆積を防止するために、エ
ッチング・ガスの構成成分として分子内に酸素原子を含
む化合物を用いることを考えた。つまり、被エッチング
領域からのO原子の放出量の不足を、気相中から補うわ
けである。
【0028】さらに本発明者は、炭素系ポリマーの堆積
量そのものを減少させても十分な側壁保護効果もしくは
表面保護効果が得られるよう、炭素系ポリマーを一部代
替する物質として、イオウ(S)に着目した。Sは昇華
性物質であるため、ウェハがおおよそ室温以下に冷却さ
れていれば容易にその表面に堆積する。このとき、Si
x 系材料層のようにスパッタによりO原子を放出する
材料層の上では、SO x の形で除去されるので堆積せ
ず、主として側壁保護、露出したシリコン系下地の表面
保護、レジスト・マスクの表面保護等に寄与するのであ
る。しかも、このSは、エッチング終了後に通常のO2
プラズマ・アッシングによりレジスト・マスクを除去す
る際に、同時に燃焼除去することができる。あるいは、
ウェハをおおよそ90°以上に加熱するだけでも昇華除
去することができる。いずれにしても、Sはパーティク
ル汚染源となる虞れがない。
【0029】本発明において、エッチング・ガスの主成
分として用いられる化合物、すなわち、フルオロカーボ
ン側鎖をそれぞれ有するスルホン酸、スルホン酸ハロゲ
ン化物、スルホン酸無水物は、上述のO原子とS原子、
および従来からのシリコン系化合物層のエッチング種で
あるCFx + のすべてを単一の化合物から供給すること
を目的として選択されたものである。ここで、O原子と
S原子はスルホン酸基(−SO3 H)、もしくはここか
ら誘導された−SO2 X,−SO2 −O−SO 2 −の各
原子団が放電解離することにより生成する。Sの堆積に
より高選択加工に必要な炭素系ポリマーの堆積量を相対
的に減少させることができ、しかもこの炭素系ポリマー
の一部はO原子により燃焼除去されるので、本発明にお
ける炭素系ポリマーの堆積量は必要最小量となる。した
がって、微細なコンタクト・ホールの内部等における炭
素系ポリマーの過剰堆積を防止することができ、マイク
ロローディング効果を抑制することができる。
【0030】一方のCFx + は、フルオロカーボン側鎖
が放電解離することにより生成する。このフルオロカー
ボン側鎖の炭素数xが2以上であれば、本発明者が先に
提案している高次フルオロカーボン化合物と同様に高速
エッチングが可能となり、さらに不飽和結合または環状
骨格を有していれば、効率良い炭素系ポリマーの重合が
進行する。
【0031】本発明は、以上のような考え方を基本とし
ているが、さらに還元作用によるSiOx 系材料層から
のO原子の引き抜き、炭素系ポリマーの膜質の強化、イ
オウの堆積の増強等を通じて一層の高速化、高選択化、
低ダメージ化、低汚染化等を図る方法も提案する。これ
らを実現するひとつの方法として、まず一酸化炭素(C
O)、分子内にカルボニル基(>C=O),チオニル基
(>S=O),スルフリル基(>SO2 ),ニトロシル
基(−N=O),ニトリル基(−NO2 )のいずれかの
官能基とハロゲン原子とを含むハロゲン化合物、または
硫化カルボニル(COS;分子構造はS=C=O)をエ
ッチング・ガスに添加する。
【0032】上記の各化合物においては、C原子とO原
子との間の結合、S原子とO原子との間の結合、N原子
とO原子との間の結合にそれぞれ電気双極子が存在し、
高い重合促進活性を有している。したがって、かかる官
能基もしくはこれに由来する原子団がプラズマ中に存在
することにより、炭素系ポリマーの重合度が上昇し、イ
オン入射やラジカルの攻撃に対する耐性を高めることが
できる。さらに、炭素系ポリマーに上述の官能基が導入
されると、単に−CX2 −(Xはハロゲン原子)の繰り
返し構造からなる従来の炭素系ポリマーよりも化学的,
物理的安定性が増すことが、近年の研究により明らかと
なっている。これは、上述のような官能基の導入により
炭素系ポリマーの極性が増大し、エッチング中は負に帯
電しているウェハに対してその静電吸着力が高まるため
であると解釈されている。したがって、レジスト材料や
Si系材料に対して高選択性を達成するために必要な炭
素系ポリマーの堆積量はごく僅かで済み、従来技術に比
べてより徹底した低汚染化を図ることができるのであ
る。
【0033】また上記の官能基は、エッチングの高速化
にも寄与している。すなわち、上記の官能基から生成可
能なCO* ,SO* ,SO2 * ,NO* 等のラジカルは
強い還元作用を有しており、SiO2 中のO原子を引き
抜くことができる。これは、2原子分子の生成熱から算
出された原子間結合エネルギーがC−O結合では257
kcal/mol,S−O結合では125kcal/m
ol,N−O結合では151cal/molであって、
結晶中におけるSi−O結合の111kcal/mol
と比べていずれも大きいことからも理解される。O原子
が引き抜かれた後のSi原子は、上記ハロゲン化合物か
ら解離生成したハロゲン・ラジカルと結合することによ
り、ハロゲン化物の形で速やかに除去される。つまり本
発明では、Si−O結合の切断を、CFx + イオンによ
る従来の物理的なスパッタ作用のみならず、化学的な作
用も利用して行うことができるようになる。しかも、本
発明で使用するCO、ハロゲン化合物、あるいはCOS
は、レジスト材料や下地のSi系材料には何ら作用を及
ぼさず、これらの材料のエッチング速度は低速に維持さ
れる。
【0034】また、特にCOSを使用した場合には、S
を堆積させることも可能である。
【0035】さらに、Sの堆積を増強したい場合には、
2 2 ,SF2 ,SF4 ,S2 10から選ばれる少な
くとも1種類のフッ化イオウをエッチング・ガスに添加
する。ここで使用されるフッ化イオウは、本願出願人が
先に特開平4−84427号公報において、SiO2
材料層のエッチング用に提案した化合物である。フッ化
イオウから生成する主エッチング種は、SFx + とF*
である。また上記フッ化イオウは、従来からエッチング
・ガスとして実用化されているSF6 に比べてS/F比
(1分子中のS原子数とF原子数の比)が大きく、放電
解離条件下でプラズマ中に遊離のS(イオウ)を放出す
ることができる。
【0036】このフッ化イオウをニトロシル基もしくは
ニトリル基を有するハロゲン化合物と併用した場合に
は、放出されたS原子がさらに該ハロゲン化合物から放
出されたN原子と反応し、ポリチアジル(SN)x を主
体とする窒化イオウ系化合物が生成し、この窒化イオウ
系化合物によりSよりもさらに強力な側壁保護作用やS
i系下地の保護作用を発揮させることができる。しか
も、窒化イオウ系化合物はエッチング終了後に通常のO
2 プラズマ・アッシングによるレジトス・マスクを除去
する際に、同時に燃焼除去することができる。あるい
は、ウェハをおおよそ130℃以上に加熱するだけでも
昇華もしくは分解させることができる。いずれにして
も、窒化イオウ系化合物はSと同様、パーティクル汚染
源となる虞れがない。
【0037】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0038】実施例1 本実施例は、本発明をホール加工に適用し、(CF3
2 2 O(無水トリフルオロメタンスルホン酸)を用
いてSiO2 層間絶縁膜をエッチングした例である。こ
のプロセスを、図1を参照しながら説明する。本実施例
においてサンプルとして使用したウェハは、図1(a)
に示されるように、予め下層配線としての不純物拡散領
域が形成された単結晶Si基板1上にSiO2 層間絶縁
膜2を介して所定の形状にパターニングされたレジスト
・マスク3が形成されてなるものである。上記レジスト
・マスク3には、開口径約0.35μmの第1の開口部
3aと、開口径約0.8μmの第2の開口部3bとが開
口されている。
【0039】上記ウェハを、マグネトロンRIE(反応
性イオン・エッチング)装置のウェハ載置電極上にセッ
トした。ここで、上記ウェハ載置電極は冷却配管を内蔵
しており、装置外部に接続されるチラー等の冷却設備か
ら該冷却配管に冷媒を供給して循環させることにより、
エッチング中のウェハ温度を室温以下に制御することが
可能となされている。一例として、下記の条件でSiO
2 層間絶縁膜2のエッチングを行った。
【0040】 (CF3 SO2 2 O流量 50SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.
56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 −30℃(エタノール系冷
媒使用)
【0041】このエッチング過程では、(CF3
2 2 Oから解離生成するCFx + およびF* により
高速エッチングが進行し、図1(b)に示されるよう
に、第1の接続孔2aと第2の接続孔2bが形成され
た。このとき、(CF3 SO2 2 Oやレジスト・マス
ク3に由来する炭素系ポリマーが側壁保護膜(図示せ
ず。)を形成することにより、高異方性も確保された。
ただし、(CF3 SO2 2 OからはO原子も放出さ
れ、これが炭素系ポリマーの一部を燃焼除去するので、
開口径の小さい第1の接続孔2aの内部においても炭素
系ポリマーが過剰に堆積したり、側壁面がテーパー化す
ることはなかった。つまり,マイクロローディング効果
が従来に比べて大幅に抑制され、開口径の異なるパター
ンの内部でもほぼ850nm/分の速度でエッチングが
進行した。また、本実施例では炭素系ポリマーの堆積量
は減少するものの、(CF3 SO2 2 Oから放出され
るSが側壁保護に寄与するため、異方性は何ら劣化する
ことがなかった。なお、このSは、レジスト・マスク3
や単結晶Si基板1の露出面上にも堆積し、レジスト選
択性と下地選択性の向上に寄与した。
【0042】しかし、マイクロローディング効果は従来
に比べれば大幅に抑制できるものの、条件によってはや
はり完全には抑制し切れず、微細な第1の接続孔2aの
底面にSiO2 層間絶縁膜2の残余部2cが僅かに残る
場合もある。そこで、この残余部2cを除去するために
オーバーエッチングを行った。このとき、第2の接続孔
2bの底面には既に下地の単結晶Si基板1が露出して
いるが、残余部2cの厚さがもともと少ないこと、ウェ
ハが低温冷却されF* の反応性が低下していること等の
理由により、この単結晶Si基板1の表面が大きく浸触
されることはなかった。このときの対Si選択比は、約
25であった。
【0043】最終的には、図1(c)に示されるよう
に、第1の接続孔2aと第2の接続孔2bが共に良好な
異方性形状と高選択性をもって形成された。また、本実
施例では気相中にO原子が放出されるが、(CF3 SO
2 2 Oの分子内におけるC原子数、S原子数、O原子
数のバランスから考えてレジスト・マスク3に対する選
択比が大きく低下することはなく、約6の値が維持され
た。
【0044】実施例2 本実施例は、同じホール加工を、CF3 (CF2 3
2 F(ノナフルオロブタンスルホン酸フロリド)を用
いて行った例である。エッチング・サンプルとして使用
したウェハは図1(a)に示したものと同じである。エ
ッチング条件は、一例として下記のとおりとした。
【0045】 CF3 (CF2 3 SO2 F流量 50SCCM ガス圧 2.0Pa RFパワー密度 2.2W/cm
2 (13.56MHz) 磁場強度 1.50×10-2T(=150
G) ウェハ温度 −30℃(エタノー
ル系冷媒使用) ここでは、CF3 (CF2 3 SO2 FのC/S比、C
/O比が実施例1で使用した(CF3 SO2 2 Oに比
べていずれも高いので、実施例1よりもRFパワー密度
をやや上げてイオン入射エネルギーを高めた。これによ
り、炭素系ポリマーの過剰な堆積を防止し、マイクロロ
ーディング効果を抑制しながら高速、高選択エッチング
を行うことができた。
【0046】実施例3 本実施例では、同じホール加工を(CF3 SO2 2
/CO混合ガスを用いて行った。図1(a)に示したウ
ェハをマグネトロンRIE装置にセットし、一例として
下記の条件でSiO2 層間絶縁膜2をエッチングした。
【0047】 (CF3 SO2 2 O流量 35SCCM CO流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.5W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0048】このエッチング過程では、CO* によるS
iO2 層間絶縁膜2の表面からのO原子引き抜き反応
が、CFx + による物理的なエッチング反応の進行を化
学的にアシストする。したがって、実施例1よりもイオ
ン入射エネルギーを低下させた条件であるにも係わら
ず、高速異方性エッチングを行うことができた。しか
も、この低エネルギー化によりレジスト・マスク3や単
結晶Si基板1に対する選択比が向上するため、ウェハ
の冷却温度を実施例1よりも室温域に近づけることがで
きた。
【0049】実施例4 本実施例では、同じホール加工を(CF3 SO2 2
/COF2 混合ガスを用いて行った。図1(a)に示し
たウェハをマグネトロンRIE装置にセットし、一例と
して下記の条件でSiO2 層間絶縁膜2をエッチングし
た。
【0050】 (CF3 SO2 2 O流量 35SCCM COF2 流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.5W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0051】このエッチング過程では、COF2 の放電
解離生成物の一部がカルボニル基やC−O結合等の形で
炭素系ポリマーに導入されることにより炭素系ポリマー
の膜質が強化され、少ない堆積量でも入射イオンのスパ
ッタリング作用に対して優れた耐性を発揮した。また、
COF2 から解離生成するCO* によるO原子引き抜き
反応がCFx + によるSiO2 のエッチングを促進し
た。この結果、実施例1よりも入射イオン・エネルギー
が低く、またウェハ温度が高いにも係わらず、高速、高
選択エッチングを行うことができた。さらに、炭素系ポ
リマーの堆積量が低減できることにより、パーティクル
汚染も大幅に抑制することができた。
【0052】実施例5 本実施例では、同じホール加工を(CF3 SO2 2
/SOF2 混合ガスを用いて行った。図1(a)に示し
たウェハをマグネトロンRIE装置にセットし、一例と
して下記の条件でSiO2 層間絶縁膜2をエッチングし
た。
【0053】 (CF3 SO2 2 O流量 35SCCM SOF2 流量 15SCCM ガス圧 2.0Pa RFパワー密度 1.4W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0054】このエッチング過程では、SOF2 の放電
解離生成物の一部がチオニル基やS−O結合等の形で炭
素系ポリマーに導入されることにより炭素系ポリマーの
膜質が強化され、少ない堆積量でも入射イオンのスパッ
タリング作用に対して優れた耐性を発揮した。また、S
OF2 から解離生成するSO* によるO原子引き抜き反
応がCFx + によるSiO2 のエッチングを促進した。
この結果、実施例1よりも入射イオン・エネルギーが低
く、またウェハ温度が高いにも係わらず、高速、高選択
エッチングを行うことができた。
【0055】実施例6 本実施例では、同じホール加工を(CF3 SO2 2
/COS混合ガスを用いて行った。図1(a)に示した
ウェハをマグネトロンRIE装置にセットし、一例とし
て下記の条件でSiO2 層間絶縁膜2をエッチングし
た。
【0056】 (CF3 SO2 2 O流量 30SCCM COS流量 20SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 0℃(エタノール系
冷媒使用)
【0057】このエッチング過程では、CO* によるO
原子引き抜き反応、カルボニル基やC−O結合等の導入
による炭素系ポリマーの膜質強化に加え、COSから解
離生成するSもウェハの表面保護に寄与するという効果
が得られた。これにより、入射イオン・エネルギーを一
層低下させることができた。また、ウェハの表面保護に
おける炭素系ポリマーの寄与が相対的に低下することに
より、一層の低汚染化を図ることができた。
【0058】実施例7 本実施例では、同じホール加工を(CF3 SO2 2
/S2 2 混合ガスを用いて行った。図1(a)に示し
たウェハをマグネトロンRIE装置にセットし、一例と
して下記の条件でSiO2 層間絶縁膜2をエッチングし
た。
【0059】 (CF3 SO2 2 O流量 30SCCM S2 2 流量 20SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 10℃(水冷)
【0060】このプロセスでは、S2 2 から生成する
SFx + がエッチング種として使用できる他、同じくS
2 2 から効率良く生成するSをウェハ上に堆積させ、
表面保護に利用できる点が大きな特色である。つまり、
(CF3 SO2 2 Oから生成する炭素系ポリマーとS
に加えて、S2 2 からもSを供給して表面保護効果を
増強することができる。これにより、実施例6よりもウ
ェハ温度を高めたにもかかわらず、良好な高選択、異方
性エッチングを行うことができた。
【0061】なお、ウェハ上に堆積したSは、エッチン
グ終了後にレジスト・マスク3を通常のO2 プラズマ・
アッシング等の工程で除去する際に、昇華するか、もし
くは炭素系ポリマーと共に燃焼されるため、ウェハ上に
何らパーティクル汚染を残すことはなかった。
【0062】実施例8 本実施例では、同じホール加工を(CF3 SO2 2
/S2 2 /NOF混合ガスを用いて行った。図1
(a)に示したウェハをマグネトロンRIE装置にセッ
トし、一例として下記の条件でSiO2 層間絶縁膜2を
エッチングした。
【0063】 (CF3 SO2 2 O流量 30SCCM S2 2 流量 10SCCM NOF流量 10SCCM ガス圧 2.0Pa RFパワー密度 1.2W/cm2 (1
3.56MHz) 磁場強度 1.50×10-2T(=150G) ウェハ温度 20℃(水冷)
【0064】このプロセスでは、NO* によるO原子引
き抜き、ニトロシル基やN−O結合の導入による炭素系
ポリマーの強化等に加え、S2 2 から生成するS原子
とNOFから生成するN原子が結合して、ポリマー状の
ポリチアジル(SN)x を主体とする種々の窒化イオウ
系化合物が生成し、これらがウェハの表面保護に寄与す
る点が大きな特色である。これにより、実施例7よりも
ウェハ温度をさらに高めて室温域でエッチングを行って
いるにもかかわらず、高い選択性、異方性が達成でき
た。なお、ウェハ上に堆積した窒化イオウ系化合物は、
エッチング終了後にレジスト・マスク3を通常のO2
ラズマ・アッシング等の工程で除去する際に、昇華する
か、もしくは炭素系ポリマーと共に燃焼されるため、ウ
ェハ上に何らパーティクル汚染を残すことはなかった。
【0065】以上、本発明を8例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、上述の各実施例で用いた化合
物以外であっても、本発明で限定される化合物を使用す
れば基本的には同様の効果が得られる。その際、エッチ
ング・ガスの主成分をなすスルホン酸,スルホン酸ハロ
ゲン化物,スルホン酸無水物と、各種ハロゲン化合物お
よび/または各種フッ化イオウとは、任意に組み合わせ
ることができる。
【0066】シリコン化合物層は、上述のSiO2 層間
絶縁膜の他、PSG,BSG,BPSG,AsSG,A
sPSG,AsBSG等のSiO2 シリコン系材料、あ
るいはSiNx からなるものであっても良い。エッチン
グ・サンプルとして用いたウェハの構成も上述の構成に
限られるものではなく、たとえばSiO2 層間絶縁膜の
下地は単結晶Si基板以外にも、多結晶シリコン層、ポ
リサイド膜、あるいはAl−1%Si層等の金属材料層
等である場合が考えられる。
【0067】エッチング・ガスには、スパッタリング効
果、希釈効果、冷却効果等を得る目的でHe,Ar等の
希ガスが適宜添加されていても構わない。その他、使用
するエッチング装置、エッチング条件等が適宜変更可能
であることは言うまでもない。
【0068】
【発明の効果】以上の説明からも明らかなように、本発
明ではエッチング・ガスの主成分として分子内にO原子
とS原子とを有する化合物を用いることにより、炭素系
ポリマーの過剰な堆積を防止してマイクロローディング
効果を抑制し、またSを堆積させ、これをウェハの表面
保護に寄与させることができる。上記化合物はさらにフ
ルオロカーボン側鎖を持っているので、主エッチング種
CFx + を供給することが可能であり、基本的には単独
組成のエッチング・ガスにより高速、高選択、低汚染、
低ダメージ・エッチングを行うことができる。さらに添
加ガスを使用することにより、炭素系ポリマーの強化、
O原子引き抜き反応、S堆積の増強等を通じて高速化、
低汚染化、低ダメージ化を図ることも可能である。特に
低汚染化が徹底されることにより、半導体装置の歩留り
や信頼性が大幅に向上する他、メンテナンス等の所要時
間も短縮され、生産性・経済性も改善される。
【0069】本発明は微細なデザイン・ルールにもとづ
いて設計され、高集積度、高性能、高信頼性を要求され
る半導体装置の製造に極めて有効である。
【図面の簡単な説明】
【図1】本発明をホール加工に適用したプロセス例をそ
の工程順にしたがって示す概略断面図であり、(a)は
SiO2 層間絶縁膜上にレジスト・マスクが形成された
状態、(b)は層間絶縁膜のエッチングの途中状態、
(c)はSiO2 層間絶縁膜がオーバーエッチングされ
た状態をそれぞれ表す。
【図2】従来のホール加工における問題点を説明するた
めの概略断面図であり、(a)はSiO2 層間絶縁膜上
にレジスト・マスクが形成された状態、(b)は開口径
の小さい接続孔においてエッチング速度の低下と側壁面
のテーパー化が生じた状態、(c)は開口径の大きい接
続孔の底面において下層配線の浸触が生じた状態をそれ
ぞれ表す。
【符号の説明】
1 ・・・単結晶シリコン基板 2 ・・・SiO2 層間絶縁膜 2a・・・第1の接続孔 2b・・・第2の接続孔 3 ・・・レジスト・マスク

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 炭素数2以上のフルオロカーボン側鎖
    有するスルホン酸、炭素数2以上のフルオロカーボン側
    を有するスルホン酸ハロゲン化物、炭素数2以上のフ
    ルオロカーボン側鎖を有するスルホン酸無水物から選ば
    れる少なくとも1種類の化合物を含むエッチング・ガス
    を用いてシリコン化合物層をエッチングすることを特徴
    とするドライエッチング方法。
  2. 【請求項2】 前記エッチング・ガスが、一酸化炭素、
    分子内にカルボニル基,チオニル基,スルフリル基,ニ
    トロ基,ニトロシル基の少なくとも1種類の官能基とハ
    ロゲン原子とを有しこれら官能基にハロゲン原子が直接
    結合した構造を有するハロゲン化物、硫化カルボニルか
    ら選ばれる少なくとも1種類の化合物を含むことを特徴
    とする請求項1記載のドライエッチング方法。
  3. 【請求項3】 前記エッチング・ガスが、S2F2、S
    F2 、SF4 、S2F10 から選ばれる少なくと
    も1種類のフッ化イオウを含み、エッチング反応系に堆
    積可能なSを供給しながらエッチングを行うことを特徴
    とする請求項1または請求項2記載のドライエッチング
    方法。
JP04170979A 1992-06-29 1992-06-29 ドライエッチング方法 Expired - Lifetime JP3116570B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP04170979A JP3116570B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP04170979A JP3116570B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH0613350A JPH0613350A (ja) 1994-01-21
JP3116570B2 true JP3116570B2 (ja) 2000-12-11

Family

ID=15914892

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04170979A Expired - Lifetime JP3116570B2 (ja) 1992-06-29 1992-06-29 ドライエッチング方法

Country Status (1)

Country Link
JP (1) JP3116570B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6079649B2 (ja) * 2014-01-08 2017-02-15 豊田合成株式会社 ドライエッチング装置およびドライエッチング方法

Also Published As

Publication number Publication date
JPH0613350A (ja) 1994-01-21

Similar Documents

Publication Publication Date Title
JP3109253B2 (ja) ドライエッチング方法
US5445712A (en) Dry etching method
JP3116569B2 (ja) ドライエッチング方法
US5660681A (en) Method for removing sidewall protective film
JP4690512B2 (ja) エッチングした垂直金属線上のポリマー沈積、エッチングした金属線の腐食およびエッチングした金属フィーチャの湿式洗浄時における腐食を減少させる方法
JP3000717B2 (ja) ドライエッチング方法
JPH05102096A (ja) ドライエツチング方法
JP2660117B2 (ja) 半導体基板ウェファー上の層のドライエッチング方法
JP3111661B2 (ja) ドライエッチング方法
JP3298205B2 (ja) ドライエッチング方法
JP3208596B2 (ja) ドライエッチング方法
JP2687787B2 (ja) ドライエッチング方法
JP3440735B2 (ja) ドライエッチング方法
JPH06163476A (ja) ドライエッチング方法
JP3116570B2 (ja) ドライエッチング方法
JP3353462B2 (ja) ドライエッチング方法
JP3301157B2 (ja) ドライエッチング方法
US6399509B1 (en) Defects reduction for a metal etcher
JP3239460B2 (ja) 接続孔の形成方法
JP2687769B2 (ja) ドライエッチング方法
JP3079656B2 (ja) ドライエッチング方法
JP3297939B2 (ja) ドライエッチング方法
JPH053177A (ja) ドライエツチング方法
US20040018743A1 (en) Method for removing photoresist after metal layer etching in a semiconductor device
JP3116421B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000905

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091006

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091006

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101006

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111006

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121006

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121006

Year of fee payment: 12