JP2690460B2 - 半導体材料のプラズマ除去法 - Google Patents

半導体材料のプラズマ除去法

Info

Publication number
JP2690460B2
JP2690460B2 JP6175918A JP17591894A JP2690460B2 JP 2690460 B2 JP2690460 B2 JP 2690460B2 JP 6175918 A JP6175918 A JP 6175918A JP 17591894 A JP17591894 A JP 17591894A JP 2690460 B2 JP2690460 B2 JP 2690460B2
Authority
JP
Japan
Prior art keywords
plasma
etching
pressure
nitrogen trifluoride
rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP6175918A
Other languages
English (en)
Other versions
JPH07153739A (ja
Inventor
ジョン.ギルズ.ランガン
スコット.エドワード.ベック
ブライアン.スコット.フェルカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JPH07153739A publication Critical patent/JPH07153739A/ja
Application granted granted Critical
Publication of JP2690460B2 publication Critical patent/JP2690460B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体材料例えば蒸着器
具あるいは電子材料ウエブに付着した被膜を、低濃度の
三弗化窒素のプラズマを三弗化窒素よりずっと電気陽性
の強い希釈剤例えばアルゴン中で用いるエッチング洗浄
もしくは除去の分野に関する。
【0002】本発明は二酸化珪素と窒化珪素の先に使用
されたものよりも低濃度の三弗化窒素で先に経験したも
のよりも高いエッチング速度での除去に特に適してい
る。
【0003】
【従来の技術】SiO、タングステン、高分子珪素と
Si材料のエッチングと洗浄は超小型電子技術装
置組立てにとって技術的に有意な方法である。この方法
の処理量を増大させ、従って、全原価の低減させるには
更に急速なエッチング速度が必要である。
【0004】大抵のエッチング法では、弗素原料ガスで
あるCF、Cなどを希釈すると結果としてSi
又はSiのエッチング速度が低下する。NF
の文献では同じ結果、すなわちNFの希釈が低エッ
チング速度をもたらすとの報告がある。
【0005】先行技術では三弗化窒素を有効に用いる様
々な試みがなされてきた。
【0006】米国特許第4,711,698号は熱生長
あるいはCVD蒸着酸化珪素のプラズマエッチング法に
ついて記述している。この研究では酸化珪素の珪素や耐
熱金属とそれらの珪化物に対する選択エッチングを記述
している。好ましい実施例はBF3 /H2 /Arのガス
混合物を用いているが、NF3 ,SF6 もしくはSiF
4 のいずれか1つと前記BF3 ガスを取替えても差支え
ないとしている。アルゴンのキャリヤーガスに入れた水
素、すなわち97%のアルゴンに対し3%の濃度にした
水素を反応器に供給する。不活性ガス成分は計算に入れ
ないで、全流量を弗素化ガス(BF3 など)と前記水素
流量とから算出できる。本発明では、エッチング液ガス
の5%原子乃至50%原子の範囲内で酸化珪素に吸着さ
せるか、それを還元させ得るグロー放電分子とラジカル
に形成するすべての原子種のエッチング液ガス混合物の
割合をクレームする。開示された圧力範囲は100mト
ル乃至3トルである。更にエッチング液ガス混合物中の
弗素の水素に対する原子の割合が15対1乃至30対1
の範囲にあるとクレームしている。
【0007】特開昭63−11674号公報はプラズマ
CVD室をアルゴンとNFの混合物を用いる改良洗浄
法である。詳述すれば、Siを50%混合物(三
弗化窒素/アルゴン)で0.6トルの圧力をかけてエッ
チングする。本明細書に示されたデータは50%希釈液
で0.3乃至1.0トルの範囲の圧力である。
【0008】H.G.ステンガ−(Stenger)と
G.S.アキキ(Akiki)が1986年刊 Ma
t.Res.Soc.Proc.第68巻、第267乃
至272頁の“カイネティクス.オヴ.プラズマ.エッ
チング.シイルコン.ウィズ.ナイトロジェントリフル
オライド(Kinetics of Plasma E
tching Silcon with Nitrog
en Trifluoride)で、「NFの使用に
潜在する欠点は、反応条件を同じようにしてもNF
ほうがCFに比較して陽イオンの生成が少ない
[2]。陽イオンの生成が少いと圧倒的等方性のエッチ
ング分布を示す[4]」と述べている。エッチングを電
極間隔が1.57cm、電極面積が2,450cm
(直径にして55.8cm)のプラズマサームPK−
24半径流反応器で行なった。反応器圧力を18.7P
aに抑え、電極温度を25℃に保ち、そしてAr中のN
のモル分率を0.4に抑えた。珪素のエッチング速
度(1分間当りのSiのマイクロモルで示す)は0.0
82、0.123、0.163そして0.204w/c
の出力密度をもつ入力ガス流れ(4乃至24scc
m)の一次関数であった。「珪素の挿入を減らすに従っ
て、非エッチング反応で消費する弗素原子の損失はエッ
チング反応での消費に対し著しいものとなるであろう」
とも述べている。
【0009】J.バーカニック(Barkanic)、
A.ホフ(Hoff)、J.スタッチ(Stach)と
B.ゴルジャ(B.Golja)は、1984年刊セミ
コンダクタ−プロセシングASTM STP850第1
10乃至123頁の“ドライ.エッチング.ユーシン
グ.NF/Arアンド、NF/Heプラズマ(Dr
y Etching Using NF/Ar an
d NF/He Plasma)”で、電極の直径が
22インチ(約55.88cm)、電極間隔が2.6イ
ンチ(約6.60cm)のプラズマサームPK2440
の二重プラズマ/反応性イオンエッチングシステムで実
験を行なった研究が含まれている。ヘリウムとアルゴン
を希釈剤として用い、併せて全流量の10%乃至80%
の範囲で前記NFを用いた。プラズマエッチング(P
E)実験として40sccmの固定流量を用い、圧力を
60乃至500ミクロンの範囲にした。RIEには流量
を10乃至40sccmに変動させ、圧力の範囲を15
乃至80ミクロンとした。出力密度の範囲を0.02乃
至0.8w/cmとした。「低濃度のNF(NF
対不活性の比が40%以下)で、アルゴン又はHe混合
物のいずれかでのエッチング速度は著しく異なる。その
うえ低い率のNF混合物(NF対不活性の比が10
乃至20%)は出力密度により著しく変動する。これは
NF混合物の低い割合のNFの濃度は、それがより
多量のNFを、出力密度が増加するに従って解離させ
ることにならない低さであることを示している。SiO
エッチング速度は選択されたモードにより毎分30乃
至1,500Aの範囲を変動する。室の装填もエッチン
グ速度に影響を及ぼし、ウエファー数の増加に伴い速度
を低下させることも注目される。
【0010】V.M.ドネリー(Donnelly)、
D.L.フラム(Flamm),W.C.ドートレモ
ン.スミス(Dautremont−Smith)と
D.l.ウェルダー(Welder)が1988年1月
刊のJ.Appl.Phys第55巻、第1号の第24
2乃至252頁に記載の論文“アンアイソトロピック、
エッチング、オヴ、SiO、イン、ローフリーケンシ
−、CF/OとNF/Arプラズマ(Aniso
tropic Etching of SiOin
LOW−Frequency CF/O and
NF/Ar Plasmas)で、全実験において、
ガス圧力が0.35トル、又全流量が100sccmを
示した実験記録を述べている。そのうえ、3種類の電極
を用いた:(1)硬質陽極酸化アルミニウムと;(2)
ステンレス鋼と;(3)珪素被覆ステンレス鋼下部電極
とである。“NF/ArプラズマCF/Oプラズ
マよりもずっと高い弗素原子濃度を発生させる……弗素
原子密度は同一条件(空のステンレス鋼反応器、出力=
0.35w/cm、流量=100sccm、そして圧
力=0.35トル)のもとではCF/50%Oにお
けるよりも10倍に等しい高さである。”下部電極を珪
素で被覆すると、前記NFプラズマ中の弗素原子濃度
は6に等しい係数だけ低下した。それらのエッチング速
度に対する弗素原子濃度の結果は、同一の方法がCF
/OとNF/Arの双方においてSiOエッチン
グにとって機能的に作用していることを示唆している。
そのうえ、前記配合物におけるNFの割合が高ければ
高いほど、結果としてウエブの温度が高くなる。ステン
レス鋼電極を用いると、汚染が“さほど深刻でない”こ
とがわかった。
【0011】S.M.タン(Tan)、H.C.ゴー
(Goh)、H.A.ナシーム(Naseem)とW.
D.ブラウン(Brown)が1990年マテリアルズ
リサーチソサエティ刊、Proc−2nd Intl.
Conf.on.Elec.Mats.第439−44
4頁に記載する論文“Plasma Etchingo
f Silcon Using NF Didute
d with Argon Nitrogen and
Hydrogen”で単一室ステンレス鋼PECVD
システムで実験を行なったことを報告している。室圧を
250mトル、全流量を10sccmに保ち、出力を4
乃至20ワット(0.023乃至0.113w/c
)の範囲で変動させた。エッチング速度をプロフィ
ロメトリで測定した。アルゴンもしくは水素で希釈され
NFの持続出力が窒素での希釈に対し約半分であっ
た。前記論文の著書は彼らのとったエッチング速度のデ
ータがバーカニック(Barkanic)のデータと対
照的であることを指摘している(すなわち、珪素に対す
る希釈%のエッチング速度は出力を一定に抑えて異なる
濃度で最高点に達し、前記バーカニックの研究のように
線形ではない)。著者はこの結果を、“比較的高い濃度
のNFがあったが、反応性エッチングラジカルの濃度
は下がって結果として、より低いエッチング速度にな
る。一定の出力をプラズマに加えると、より高いR[R
はNF流量/(CF+希釈ガス)]でのエッチング
速度の降下を、エッチングの作業出力が前記プラズマ持
続出力に接近するに従って観察できる。濃度を更に高め
ると、出力をプラズマのない域に入力することになる”
と述べて説明している。換言すれば、NFの濃度がふ
えるに従って、プラズマを“たきつける(ligh
t)”ことが困難となる。すなわち、プラズマの持続に
はより多量の出力を必要とする。
【0012】又NF/Nの研究では、弗素と窒素の
双方とも珪素のエッチングの役割を演じていることがわ
かった。この種の混合物のプラズマの持続に、NF
Arの出力よりも高い出力が必要であることに注目して
いる。その結果は更に、前記N−Fラジカルも珪素をエ
ッチングし、その結果、NF/Arでエッチングする
よりも高いエッチング速度をもたらす。ここでも、一定
の出力で、エッチング速度とNF濃度の間の非線形関
係にあることを観察している。
【0013】前記NF/Hの配合物で低エッチング
速度を達成できた。これについては、水素が弗素を掃去
する点に注目して説明している。そのうえ、R=0.4
にして材料蒸着が観察された。
【0014】
【発明が解決しようとする課題】先行技術は様々なエッ
チング方法に三弗化窒素の利用を試みてきたが、先行技
術は、本発明が高価な三弗化窒素を用いても、高いエッ
チング速度を出しながら要求条件の度合が低いため半導
体プロセス装置の停止時間を短くすませられる、換言す
れば以下更に詳細に説明される通り、より少ない三弗化
窒素を使用しながらより完全なエッチング洗浄を本発明
が達成させたような有効な三弗化窒素の利用の問題を解
決してこなかった。
【0015】
【課題を解決するための手段】本発明は、弗素と反応す
る二酸化珪素と窒化珪素からなる群より選択された材料
の被膜をその表面からプラズマエッチングにより除去す
る方法であって、三弗化窒素よりも電気的陽性の高い希
釈剤と約15乃至25%の三弗化窒素から実質的になる
プラズマに、前記被膜を、約600乃至1700mトル
の圧力と約0.4乃至1.4W/cm2 の出力で接触さ
せることを含む。
【0016】好ましくは、半導体材料を二酸化珪素、タ
ングステン、ポリシリコンと窒化珪素からなる群より選
ぶことである。
【0017】なるべくなら、希釈剤を、窒素、ヘリウ
ム、アルゴン、亜酸化窒素とその混合物からなる群より
選ぶことである。
【0018】又、なるべくなら、三弗化窒素が10乃至
18%の範囲内であることである。
【0019】前記圧力が約800乃至1,600mトル
であることが好ましい。
【0020】又、なるべくなら、前記半導体材料が基板
上の被膜であることである。
【0021】前記出力がほぼ1.2w/cmであるこ
とが好ましい。
【0022】更に好ましくは、前記出力の周波数が約1
3.56MHzであることである。
【0023】
【作用】詳述すれば、本発明は二酸化珪素を表面から除
去する方法であって、二酸化珪素材料を約15乃至25
%の三弗化窒素のプラズマをアルゴン中で、約700乃
至1,700mトルの範囲の圧力と、約0.4乃至1.
4w/cmの範囲の出力で接触させる工程からなる。
【0024】別の例として、本発明は、窒化珪素を表面
からプラズマ除去する方法で、窒化珪素材料を約10乃
至25%の三弗化窒素のプラズマと約700乃至1,7
00mトルの圧力と、約0.4乃至1.4w/cm
範囲の出力で接触させる工程からなる。
【0025】
【実施例】本発明が扱う方法は、弗素含有プラズマと反
応して揮発性生成物を形成する被膜を除去する改良法で
ある。本発明の好ましい実施例では、SiOとSi
被膜を特定の濃度と圧力でNF/Ar混合物を用
いてエッチングする。前記改良エッチング速度は濃縮度
のNF混合物を用いて達成できる。この場合は、10
乃至25%のNFを希釈剤に入れる。好ましくはSi
には15乃至25%、Siには10乃至25
%、最も好ましくは17.6%NFをArに入れて高
圧、この場合は600乃至1,700mトル、好ましく
は700乃至1,700、更に好ましくは1,500m
トル(50sccm全流量、60w又は1.4w/cm
に対し)で作業することである。出力は0.4乃至
1.4w/cm(W=ワット)に亘り変動させ得る。
出力の周波数をなるべくなら13.56MHzにするこ
とである。この低NF濃度での改良エッチング速度の
研究結果は、より急速なエッチング速度が前記CVDも
しくはプラズマエッチング法の改良された処理量をもた
らすことになるので重要である。そのうえ、最も急速の
エッチング速度が意外にも低いNF濃度で達成でき、
その結果NFガスの削減された使用量での費用節減に
繋がる。
【0026】この方法の物理的基本はNFプラズマの
独特の特性に起因する。NFはCFもしくはC
が同一条件でプラズマに発生させる以上に大量の遊離
弗素原子濃縮を発生させる。これはNFを用いる一般
に急速のエッチングもしくは洗浄速度に繋がる。しか
し、プラズマ中の過剰の弗素も有害なものとなり得る。
弗素原子は極端に電気的陰性であって、結果としてプラ
ズマに高濃度の陰性弗化物イオンをもたらす。これは低
遊離電子密度、従って次には不安定なプラズマに繋が
る。この明細書に説明する方法はこれらの2つの現象を
考慮する。濃度と圧力を所定のRF出力と全流量に対し
調節して可能な限りの最高速度のエッチングングを達成
させる。
【0027】実験を小型平行板プラズマエッチング反応
器10(図1参照)で行なった。前記反応器はステンレ
ス鋼製で2つの3″径のステンレス鋼電極12、14を
ぞれそれ1″(約2.54cm)だけ離して備えてい
る。前記電極は前記反応器室壁体からの2″(約5.0
8cm)のセラミック製オフセット16.18で電気的
に分離されている。13.56MHzのRF出力20を
2つの1/4″(約0.64cm)水冷管路により送出
する。電極を循環脱イオン水浴により約25℃の温度に
保つ。前記NF(24)、Ar(26)とN(2
8)ガスを共通の1/4″(約0.64cm)の混合管
路22を通して前記室に送出する。個々の流量をMKS
2159A質量流量制御器で計測する。前記室を1.
5″真空配管30を通し、分子吸収(27l/秒)−ラ
フポンプの組合わせで排気する。室のベースプレッシャ
ーは5.0×10−7トルである。キャパシタンス圧力
計を用い、又流れ制限絞り弁34を用いてそれぞれ測定
と制御を行なった。1″(約2.54cm)平方の試料
36を直接下部電極14の上に置く。エッチング速度を
SiOとSiの試料に対しHeNeレーザーイ
ンターフェロメトリーを用い測定する。前記試料をPE
CVD反応器の中で構成され、数ミクロンのSiO
しくはSiでコーティングされていた。
【0028】表1は様々な希釈剤と混合したNFのS
iOとSiのエッチング速度を示す。これらの
測定はすべて1.4w/cmの出力、550mトルの
圧力と希釈剤中25%のNFで行なわれた。結果は最
高のエッチング速度がArもしくはNを用いて達成で
きることを示す。本発明はArを用いることを特徴とし
た。それは最高のエッチング速度をSiで示し、
2番目の速度をSiOで示したからである。この方法
は研究された希釈剤のどれにも適用できるはずである。
【0029】
【表1】 ―――――――――――――――――――――――――――――――――――― 混合物 SiOエッチング速度 Siエッチング速度 (A/分) (A/分) ―――――――――――――――――――――――――――――――――――― NF/Ar 670 8000 NF/N 860 7400 NF/He 560 7400 NF/O 520 5200 NF/NO 280 3600 NF 90 1000 ―――――――――――――――――――――――――――――――――――― NF基剤のプラズマにおけるSiOとSi
ッチング速度、条件は1.4w/CM、53sccm
全ガス流量、希釈剤中25%のNF、最後の記載事項
は100%のNFである。
【0030】様々なNF3 /Arプラズマに曝らされた
SiO2 のエッチング速度を図2でプロットにしてい
る。連続線はいくつかの異なる圧力でAr流れにおける
NF3の異なる濃度である。おのおのの連続線は類似の
動向を示し、エッチング速度にピークが現われ、圧力が
高くなると減少する。10%の混合物の場合も、より高
い圧力でピークが現われ、そして減少するであろうと考
えられる。連続線での相異は前記の圧力であり、その圧
力で最高のエッチング速度が発生する。最高エッチング
速度はNF3 の濃度と関係し、低下濃度に伴い増大す
る。観測された最高エッチング速度すなわち1,537
A/分は調査されたNF3 の2番目の低い濃度である約
18%で達成された。
【0031】Siで行なった同様のエッチング速
度の連続線測定を図3でプロットする。ここでも同一の
動向が観察され、エッチング速度が1,550mトル圧
力のAr中、約18%のNFで最高14,800A/
分に達する。更に図では、いくつかのCF対8%O
とC対50%Oの実験を示し、その主要相違点
が約25sccmの全流量ガスである。これらの濃度は
業界で用いられることもある代表的方法と一致するよう
選ばれた。これはNF基剤のプラズマのエッチング速
度が増大することを示すため行なわれた。
【0032】
【発明の効果】本発明の主要の利点は、SiOとSi
を非常に低い濃度のNFを用いて高いエッチン
グ速度でエッチング洗浄する方法の予期せぬ向上であっ
た。調査中、好ましいプロセス時間帯(windou)
は、次のような特徴があった:600乃至1,700m
トルの圧力で10〜25%NF/Ar、50sccm
全ガス流量、0.4乃至1.4w/cmの出力でこれ
は結果的に両被膜にとっての最高エッチング速度になっ
た。
【0033】本発明の予期しなかった結果は、NF
その放電が極めて電気的陰性であるため、更に電気的陽
性のガス、好ましくはアルゴン調整自在に希釈して、プ
ラズマも最高のエッチング速度を達成できる十分に高い
圧力で操作する必要がある。これはフルオロカーボン基
剤のプラズマに対し容易に達成できる。それはそれらが
フルオロポリマー蒸着を防ぐ酸素含有希釈剤を必要とす
るからである。本発明を特徴とする独特のプロセス時間
帯により実現される処理量の増加ならびにガス消費量の
減少が結果として普通の選択肢と比較して経済的に有利
な方法をもたらすものである。
【0034】様々の希釈剤も三弗化窒素と組合わせて、
比較的低い濃度の三弗化窒素が、三弗化窒素より更に電
気的陽性のある希釈剤と共に用いると、意外に高いエッ
チング速度をもたらすことが実証できた。この結果をア
ルゴン、ヘリウム、窒素、酸素及び亜酸化窒素を25%
の三弗化窒素と用いて図4に示す。
【0035】本発明は、現在高いエッチング速度の達成
に必要と考えられているものよりも少量のNFを使用
できる利点を備えている。NFの濃度を低めれば低め
るほど、又エッチングもしくは洗浄時間を短縮すればす
るほど、全プロセスの費用が低減される。
【図面の簡単な説明】
【図1】 本発明の好ましい実施例の略図である。
【図2】 二酸化珪素のエッチングに用いる様々な濃度
の三弗化窒素のエッチング速度を圧力と比較するグラフ
である。
【図3】 窒化珪素のエッチングに用いる様々な濃度の
三弗化窒素のエッチング速度を圧力と比較するグラフで
ある。
【図4】 窒化珪素のエッチングに用いる25%の濃度
の三弗化窒素の様々な希釈剤のエッチング速度を圧力と
比較するグラフである。
【符号の説明】
10 平行板エッチング反応器 12 ステンレス鋼製電極(上部) 14 ステンレス鋼製電極(下部) 16 セラミックオフセット 18 セラミックオフセット 20 RF出力 22 混合管路 24 NF 26 Ar 28 N 30 真空配管 32 ラフポンプ 34 流水制限絞り弁 36 1″平方試料
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スコット.エドワード.ベック アメリカ合衆国.19530.ペンシルバニ ア州.クーツタウン.グリム.ロード. 722 (72)発明者 ブライアン.スコット.フェルカー アメリカ合衆国.18140.ペンシルバニ ア州.アレンタウン.ホワイトホール. アベニュー.1857 (56)参考文献 特開 平3−120368(JP,A) J.APPL.PHYS.55〜1! (1984−1)(米)P.242−252 SEMICONDUCTOR PRO CESSING ASTM STP〜 850!(1984)P.110−123 J.APPL.PHYS.53〜8! (1982−8)(米)P.5531−5540

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】 弗素と反応する二酸化珪素と窒化珪素か
    らなる群より選択された材料の被膜をその表面からプラ
    ズマエッチングにより除去する方法であって、三弗化窒
    素よりも電気的陽性の高い希釈剤と約15乃至25%の
    三弗化窒素から実質的になるプラズマに、前記被膜を、
    約600乃至1700mトルの圧力と約0.4乃至1.
    4W/cm2 の出力で接触させること特徴とするプラズ
    マ除去方法。
  2. 【請求項2】 前記希釈剤が、窒素、ヘリウム、アルゴ
    ン、亜酸化窒素、及びこれらの混合物からなる群より選
    択された請求項1に記載の方法。
  3. 【請求項3】 前記三弗化窒素が15乃至18%の範囲
    にある請求項1に記載の方法。
  4. 【請求項4】 前記圧力が約800乃至1600mトル
    である請求項1に記載の方法。
  5. 【請求項5】 前記出力が約1.2W/cm2 である請
    求項1に記載の方法。
  6. 【請求項6】 前記出力の周波数が約13.56MHz
    である請求項1に記載の方法。
  7. 【請求項7】 二酸化珪素被膜を表面からプラズマエッ
    チングにより除去する方法であって、アルゴン中の約1
    5乃至25%の三弗化窒素から本質的になるプラズマ
    に、前記二酸化珪素被膜を、約700乃至1700mト
    ルの圧力と約0.4乃至1.4W/cm2 の出力で接触
    させること特徴とするプラズマ除去方法。
  8. 【請求項8】 窒化珪素被膜を表面からプラズマエッチ
    ングにより除去する方法であって、アルゴン中の約15
    乃至25%の三弗化窒素から本質的になるプラズマに、
    前記窒化珪素被膜を、約700乃至1700mトルの圧
    力と約0.4乃至1.4W/cm2 の出力で接触させる
    こと特徴とするプラズマ除去方法。
JP6175918A 1993-07-08 1994-07-05 半導体材料のプラズマ除去法 Expired - Lifetime JP2690460B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/089210 1993-07-08
US08/089,210 US5413670A (en) 1993-07-08 1993-07-08 Method for plasma etching or cleaning with diluted NF3

Publications (2)

Publication Number Publication Date
JPH07153739A JPH07153739A (ja) 1995-06-16
JP2690460B2 true JP2690460B2 (ja) 1997-12-10

Family

ID=22216337

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6175918A Expired - Lifetime JP2690460B2 (ja) 1993-07-08 1994-07-05 半導体材料のプラズマ除去法

Country Status (2)

Country Link
US (1) US5413670A (ja)
JP (1) JP2690460B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101333666B (zh) * 2003-06-27 2012-06-13 东京毅力科创株式会社 等离子产生方法、清洗方法以及衬底处理方法

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
IL119598A0 (en) * 1995-11-17 1997-02-18 Air Prod & Chem Plasma etch with trifluoroacetic acid or its derivatives
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6554910B1 (en) 1996-05-17 2003-04-29 Micron Technology, Inc. Method for treating residues in semiconductor processing chambers
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5792705A (en) * 1996-06-28 1998-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized planarization process for SOG filled vias
US5963833A (en) * 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5865900A (en) * 1996-10-04 1999-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch method for removing metal-fluoropolymer residues
US5861065A (en) * 1997-01-21 1999-01-19 Air Products And Chemicals, Inc. Nitrogen trifluoride-oxygen thermal cleaning process
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US5968279A (en) * 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US8075789B1 (en) 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
WO1999006611A1 (en) * 1997-08-01 1999-02-11 Applied Komatsu Technology, Inc. Method and apparatus for chamber cleaning
US6051502A (en) * 1997-10-20 2000-04-18 Micron Technology, Inc. Methods of forming conductive components and methods of forming conductive lines
US6135128A (en) * 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6259105B1 (en) * 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
US6255179B1 (en) * 1999-08-04 2001-07-03 International Business Machines Corporation Plasma etch pre-silicide clean
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6500768B1 (en) * 2000-10-30 2002-12-31 Advance Micro Devices, Inc. Method for selective removal of ONO layer
CN1310293C (zh) * 2001-04-19 2007-04-11 东京毅力科创株式会社 干蚀刻方法
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
KR100853388B1 (ko) 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
DE102004049233A1 (de) * 2004-10-09 2006-04-20 Schott Ag Verfahren zur Mikrostrukturierung von Substraten aus Flachglas
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7479191B1 (en) 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7531819B2 (en) * 2005-12-20 2009-05-12 Axcelis Technologies, Inc. Fluorine based cleaning of an ion source
JP2010503977A (ja) 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
KR101755970B1 (ko) 2008-02-11 2017-07-07 엔테그리스, 아이엔씨. 이온 공급원 챔버를 포함하는 이온 주입 시스템의 성능 향상 및 수명 연장 방법
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
KR101603971B1 (ko) * 2014-07-30 2016-03-17 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107731673A (zh) 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10161034B2 (en) 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20230151810A (ko) * 2022-04-26 2023-11-02 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56158873A (en) * 1980-05-14 1981-12-07 Hitachi Ltd Dry etching method
US4522681A (en) * 1984-04-23 1985-06-11 General Electric Company Method for tapered dry etching
US4654112A (en) * 1984-09-26 1987-03-31 Texas Instruments Incorporated Oxide etch
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS6311674A (ja) * 1986-06-30 1988-01-19 Fujitsu Ltd プラズマcvd用チヤンバの洗浄方法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
JPH01194423A (ja) * 1988-01-29 1989-08-04 Sharp Corp プラズマエッチング法
US4904341A (en) * 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US5209803A (en) * 1988-08-30 1993-05-11 Matrix Integrated Systems, Inc. Parallel plate reactor and method of use
JP3004696B2 (ja) * 1989-08-25 2000-01-31 アプライド マテリアルズ インコーポレーテッド 化学的蒸着装置の洗浄方法
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
J.APPL.PHYS.53〜8!(1982−8)(米)P.5531−5540
J.APPL.PHYS.55〜1!(1984−1)(米)P.242−252
SEMICONDUCTOR PROCESSING ASTM STP〜850!(1984)P.110−123

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101333666B (zh) * 2003-06-27 2012-06-13 东京毅力科创株式会社 等离子产生方法、清洗方法以及衬底处理方法

Also Published As

Publication number Publication date
JPH07153739A (ja) 1995-06-16
US5413670A (en) 1995-05-09

Similar Documents

Publication Publication Date Title
JP2690460B2 (ja) 半導体材料のプラズマ除去法
JP3670277B2 (ja) 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
US6863077B2 (en) Method and apparatus for enhanced chamber cleaning
US4465552A (en) Method of selectively etching silicon dioxide with SF6 /nitriding component gas
US5643838A (en) Low temperature deposition of silicon oxides for device fabrication
EP2007923B1 (en) Etching process
Pelhos et al. Etching of high-k dielectric Zr 1− x Al x O y films in chlorine-containing plasmas
Padiyath et al. Reactive ion etching of monocrystalline, polycrystalline, and amorphous silicon carbide in CF4/O2 mixtures
EP0933806A1 (en) Method for cleaning plasma treatment device and method for plasma treatment
US6399514B1 (en) High temperature silicon surface providing high selectivity in an oxide etch process
d’Agostino et al. Mechanism of etching, polymerization and deposition in RF (radio frequency) discharges
Bell et al. Investigation of selective SiO2‐to‐Si etching in an inductively coupled high‐density plasma using fluorocarbon gases
KR102563633B1 (ko) 에칭 방법 및 플라즈마 에칭 재료
Oehrlein et al. Study of plasma-surface interactions: chemical dry etching and high-density plasma etching
US6294102B1 (en) Selective dry etch of a dielectric film
JP2003209096A (ja) プラズマエッチング処理方法及びその装置
Reyes-Betanzo et al. Silicon nitride etching in high-and low-density plasmas using SF 6/O 2/N 2 mixtures
Beulens et al. Chemical downstream etching of silicon–nitride and polycrystalline silicon using CF4/O2/N2: Surface chemical effects of O2 and N2 additives
TW201801178A (zh) 電漿蝕刻方法
JPH07263408A (ja) プラズマエッチング方法
JPH08330278A (ja) 表面処理方法および表面処理装置
Langan et al. Method for plasma etching or cleaning with diluted NF 3
JPH1098019A (ja) 表面清浄化方法
JPH08255786A (ja) プラズマエッチング方法
SMITH High-pressure etching