JP2543546B2 - Method of manufacturing mask for X-ray exposure - Google Patents

Method of manufacturing mask for X-ray exposure

Info

Publication number
JP2543546B2
JP2543546B2 JP30919687A JP30919687A JP2543546B2 JP 2543546 B2 JP2543546 B2 JP 2543546B2 JP 30919687 A JP30919687 A JP 30919687A JP 30919687 A JP30919687 A JP 30919687A JP 2543546 B2 JP2543546 B2 JP 2543546B2
Authority
JP
Japan
Prior art keywords
ray
pattern
mask
internal stress
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP30919687A
Other languages
Japanese (ja)
Other versions
JPH01150324A (en
Inventor
幸夫 飯村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dai Nippon Printing Co Ltd
Original Assignee
Dai Nippon Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dai Nippon Printing Co Ltd filed Critical Dai Nippon Printing Co Ltd
Priority to JP30919687A priority Critical patent/JP2543546B2/en
Publication of JPH01150324A publication Critical patent/JPH01150324A/en
Application granted granted Critical
Publication of JP2543546B2 publication Critical patent/JP2543546B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は微細パターンを高精度に転写するためのX線
露光装置に用いるX線露光用マスクの製造方法に関す
る。
TECHNICAL FIELD The present invention relates to a method for manufacturing an X-ray exposure mask used in an X-ray exposure apparatus for transferring a fine pattern with high accuracy.

〔従来の技術〕[Conventional technology]

X線露光法は、波長4〜50オングストロームの軟X線
を使用することにより極微細パターンの転写が可能な技
術として知られている。従来からのX線露光用マスクの
製造方法としては、例えば、特開昭60-5519号公報の
「X線露光用マスクおよびその製法」がある。この製造
方法を第5図に基づいて説明する。
The X-ray exposure method is known as a technique capable of transferring an extremely fine pattern by using soft X-rays having a wavelength of 4 to 50 Å. As a conventional method for manufacturing an X-ray exposure mask, there is, for example, "X-ray exposure mask and its manufacturing method" in Japanese Patent Laid-Open No. 60-5519. This manufacturing method will be described with reference to FIG.

まず、第5a図ではSiウエハ1上にマスク基板2を形成
する。
First, in FIG. 5a, a mask substrate 2 is formed on a Si wafer 1.

次に、第5b図ではマスク基板2上にタンタルTaからな
るX線吸収体層3を形成する。
Next, in FIG. 5b, the X-ray absorber layer 3 made of tantalum Ta is formed on the mask substrate 2.

次に、第5c図ではX線吸収体層3上に、後に電子吸収
体層として使用するSiO2層からなるエッチングマスク層
4を2000オングストロームの厚さに形成する。
Next, in FIG. 5c, an etching mask layer 4 made of a SiO 2 layer, which will be used as an electron absorber layer later, is formed on the X-ray absorber layer 3 to a thickness of 2000 angstroms.

次に、第5d図ではエッチングマスク層4上にレジスト
層5を形成する。
Next, in FIG. 5d, a resist layer 5 is formed on the etching mask layer 4.

次いで、第5e図に示すように、レジスト層5に対して
所望のパターンを有する露光処理、そして、これに続く
現象処理によりレジストパターン6を形成する。
Next, as shown in FIG. 5e, a resist pattern 6 is formed by an exposure process having a desired pattern on the resist layer 5 and a subsequent phenomenon process.

次に、第5f図ではレジストパターン6をマスクとし
て、C2F6等のガスを使用し、エッチングマスク層4に反
応性スパッタエッチング処理を施す。この結果、微細パ
ターンのSiO2膜からなるエッチングマスクパターン7が
形成される。
Next, in FIG. 5f, the resist pattern 6 is used as a mask and a gas such as C 2 F 6 is used to perform a reactive sputter etching process on the etching mask layer 4. As a result, the etching mask pattern 7 made of a finely patterned SiO 2 film is formed.

第5g図ではレジストパターン6をエッチングマスクパ
ターン7上から除去した後、エッチングマスクパターン
7をマスクにしてCBrF3ガスを使用し、X線吸収体層3
に反応性スパッタエッチング処理を施すことによりタン
タルTaからなるX線吸収体パターン8が形成される。
In FIG. 5g, after removing the resist pattern 6 from above the etching mask pattern 7, CBrF 3 gas is used with the etching mask pattern 7 as a mask to remove the X-ray absorber layer 3
Then, the X-ray absorber pattern 8 made of tantalum Ta is formed by performing the reactive sputter etching process on the.

最後に、第5h図ではSiウエハ1をエッチング処理する
ことによりSi枠9を形成する。
Finally, in FIG. 5h, the Si frame 9 is formed by etching the Si wafer 1.

上記のような工程により製造されたX線露光用マスク
1のX線吸収体パターン8の内部応力は約±1×109dyn
/cm2の範囲である。
The internal stress of the X-ray absorber pattern 8 of the X-ray exposure mask 1 manufactured by the above process is about ± 1 × 10 9 dyn.
The range is / cm 2 .

〔発明が解決しようとする問題点〕[Problems to be solved by the invention]

しかしながらX線吸収体パターンの持つ内部応力によ
り、マスク歪が発生することが広く知られており、高融
点金属からなるX線吸収体パターンが有する±1×109d
yn/cm2の範囲の内部応力では不十分である。このため
に、X線吸収体パターンの位置精度の悪いX線露光用マ
スクが形成される結果となり、なお一層、内部応力の改
善が望まれる。
However, it is widely known that the mask stress is generated by the internal stress of the X-ray absorber pattern, and the X-ray absorber pattern made of a refractory metal has ± 1 × 10 9 d.
Internal stress in the range of yn / cm 2 is not sufficient. This results in the formation of an X-ray exposure mask in which the positional accuracy of the X-ray absorber pattern is poor, and further improvement in internal stress is desired.

本発明は高融点金属からなるX線吸収性パターンの内
部応力を改善することにより位置精度を向上させたX線
露光用マスクの製造方法を提供することを目的とする。
An object of the present invention is to provide a method of manufacturing an X-ray exposure mask in which the positional accuracy is improved by improving the internal stress of the X-ray absorbing pattern made of a refractory metal.

〔問題点を解決するための手段〕[Means for solving problems]

上記目的を達成するために本発明は、基板に形成され
たX線透過性薄膜上にスパッタ装置を使用して高融点金
属のX線吸収性材料領域を形成した工程の後、X線吸収
性材料領域を所定の時間加熱する工程を備えたX線露光
用マスクの製造方法を内容とする。
In order to achieve the above object, the present invention provides a method of forming an X-ray absorbing material region of a refractory metal on a X-ray transmitting thin film formed on a substrate using a sputtering apparatus, and A method for manufacturing an X-ray exposure mask including a step of heating a material region for a predetermined time.

〔作用〕[Action]

上記のようなX線露光用マスクの製造方法はX線吸収
性材料領域を形成した工程の後、X線吸収性材料領域を
100〜300℃の温度で、かつ、所定の時間だけ加熱するこ
とにより、X線吸収性材料領域の内部応力を小さくでき
る。内部応力が小さくなることによりX線露光用マスク
上の露光パターンの位置が正確になる。
In the method of manufacturing the X-ray exposure mask as described above, after the step of forming the X-ray absorbing material region, the X-ray absorbing material region is formed.
By heating at a temperature of 100 to 300 ° C. for a predetermined time, the internal stress of the X-ray absorbing material region can be reduced. By reducing the internal stress, the position of the exposure pattern on the X-ray exposure mask becomes accurate.

〔実施例〕 以下に本発明のX線露光用マスクの製造方法を図面に
基づいて説明する。第1a図〜第1f図の概略断面図はX線
露光用マスクの製造方法の製造工程を示す一実施例であ
る。
[Examples] A method for manufacturing an X-ray exposure mask of the present invention will be described below with reference to the drawings. The schematic cross-sectional views of FIGS. 1a to 1f are examples showing the manufacturing steps of a method for manufacturing an X-ray exposure mask.

まず、第1a図では鏡面研磨された厚さ0.3〜4.0mmのSi
ウエハ基板1上の両面に、CVD法またはスパッタ法によ
り引張り応力2×108〜2×109dyn/cm2を有する厚さ0.2
〜4μmのX線透過性薄膜としてのSiN膜10を形成す
る。この両面のSiN膜10のうち、他面側にフォトレジス
トパターンをマスクにして、SiN膜10の不要部をエッチ
ング除去することにより保護膜11が形成される。
First, in Fig. 1a, mirror-polished Si with a thickness of 0.3-4.0 mm is used.
Thickness 0.2 with tensile stress of 2 × 10 8 to 2 × 10 9 dyn / cm 2 on both sides of the wafer substrate 1 by CVD method or sputtering method.
A SiN film 10 as an X-ray transparent thin film having a thickness of ˜4 μm is formed. A protective film 11 is formed by etching away unnecessary portions of the SiN film 10 using the photoresist pattern as a mask on the other surface of the SiN film 10 on both surfaces.

なお、X線透過性薄膜はSi3N4、SiC、BN等の単層また
は複合層からなるものでもよいし、塗布ベーク法による
ポリイミド等との複合層からなるものであってもよい。
The X-ray transmissive thin film may be composed of a single layer or a composite layer of Si 3 N 4 , SiC, BN or the like, or may be composed of a composite layer of polyimide or the like by a coating baking method.

次に、第1b図ではSiN膜10上に±1×109dyn/cm2付近
の内部応力を有し、かつ、厚さが0.3〜2.0μmのX線吸
収性材料領域としてのタンタル(Ta)膜12をアルゴンA
r、クセノンXe、クリプトンKr等の希ガスを使用したマ
グネトロンスパッタ法により形成する。
Next, in FIG. 1b, tantalum (Ta) as an X-ray absorbing material region having an internal stress of about ± 1 × 10 9 dyn / cm 2 and a thickness of 0.3 to 2.0 μm is formed on the SiN film 10. ) Membrane 12 is Argon A
It is formed by a magnetron sputtering method using a rare gas such as r, xenon Xe, or krypton Kr.

なお、上記した内部応力は正の値は引張り応力、そし
て、負の値は圧縮応力を示す。
The above-mentioned internal stress has a positive value indicating tensile stress, and a negative value indicates compressive stress.

この工程の後、タンタル膜12は150℃の窒素ガス雰囲
気中で、かつ、大気圧または減圧の雰囲気中で2時間の
熱処理を行う。この熱処理により内部応力は約1×108d
yn/cm2の程度低くなる。熱処理後のタンタル膜12の内部
応力については、後で詳しく説明する。
After this step, the tantalum film 12 is heat-treated for 2 hours in a nitrogen gas atmosphere at 150 ° C. and in an atmosphere of atmospheric pressure or reduced pressure. The internal stress is about 1 × 10 8 d due to this heat treatment.
It becomes as low as yn / cm 2 . The internal stress of the tantalum film 12 after the heat treatment will be described in detail later.

次に、第1c図ではタンタル膜12上にSiO2またはSiNか
らなるエッチングマスク層13をスパッタ法またはCVD法
により形成する。エッチングマスク層13を形成した後、
エッチングマスク層13上に電子線露光法等によりレジス
トパターン14を形成する。
Next, in FIG. 1c, an etching mask layer 13 made of SiO 2 or SiN is formed on the tantalum film 12 by a sputtering method or a CVD method. After forming the etching mask layer 13,
A resist pattern 14 is formed on the etching mask layer 13 by an electron beam exposure method or the like.

第1d図ではレジストパターン14をマスクにし、C2F6
C4F8等のガスでエッチングマスク層13に反応性エッチン
グ処理を施す。この後、レジストパターン14を除去する
ことによりエッチングマスクパターン15を形成する。
In FIG. 1d, using the resist pattern 14 as a mask, C 2 F 6 ,
The etching mask layer 13 is subjected to reactive etching treatment with a gas such as C 4 F 8 . Then, the resist pattern 14 is removed to form an etching mask pattern 15.

なお、レジストパターン14が有機レジストの場合は酸
素O2ガス等により反応性スパッタエッチング処理を施
す。
When the resist pattern 14 is an organic resist, reactive sputter etching treatment is performed with oxygen O 2 gas or the like.

次に、第1e図ではエッチングマスクパターン15をマス
クにして、CBrF3、SF6等のガスでタンタル膜12に反応性
スパッタエッチング処理を施す。この結果、X線吸収性
パターン16が形成される。
Next, in FIG. 1e, using the etching mask pattern 15 as a mask, the tantalum film 12 is subjected to reactive sputter etching treatment with a gas such as CBrF 3 or SF 6 . As a result, the X-ray absorptive pattern 16 is formed.

最後に、第1f図では保護膜11で保護されていないSiウ
エハ基板1の部分を裏面からエッチング除去することに
より支持枠17を形成する。
Finally, in FIG. 1f, the support frame 17 is formed by etching away the portion of the Si wafer substrate 1 not protected by the protective film 11 from the back surface.

このエッチングの際、X線吸収性パターン16を保護す
るためにテフロン、Oリング等からなる治具を使用し、
またエッチング液として、20〜30%のKOH水溶液やHF:HN
O3:CH3COOH=1:3:1のHF系混合液を使用することにより
Siウエハ基板1の一部をエッチング除去できる。
At the time of this etching, a jig made of Teflon, an O-ring, etc. is used to protect the X-ray absorbing pattern 16.
As an etching solution, a 20-30% KOH aqueous solution or HF: HN
O 3 : CH 3 COOH = 1: 3: 1
A part of the Si wafer substrate 1 can be removed by etching.

上記した工程により本発明のX線露光用マスクが得ら
れる。
Through the steps described above, the X-ray exposure mask of the present invention is obtained.

次に、タンタル膜12が100〜300℃の温度範囲で、か
つ、所定時間熱処理された結果、いかにタンタル膜12の
内部応力が変化して小さくなったかを、第2図ないし第
4図に示す実験データに基づいて説明する。
Next, FIGS. 2 to 4 show how the internal stress of the tantalum film 12 changes and becomes small as a result of the heat treatment of the tantalum film 12 in the temperature range of 100 to 300 ° C. for a predetermined time. It will be explained based on experimental data.

第2図はタンタル膜12が100〜300℃の温度範囲で、か
つ、窒素雰囲気中で、1時間熱処理された時のタンタル
膜12の内部応力が温度に応じて変化する量を示してい
る。このグラフから明らかなように所定の熱処理により
内部応力は従来の±1×109dyn/cm2の範囲の値よりも十
分小さくなるように制御が可能である。
FIG. 2 shows the amount by which the internal stress of the tantalum film 12 changes depending on the temperature when the tantalum film 12 is heat-treated in a nitrogen atmosphere in the temperature range of 100 to 300 ° C. for 1 hour. As is clear from this graph, it is possible to control the internal stress to be sufficiently smaller than the conventional value in the range of ± 1 × 10 9 dyn / cm 2 by the predetermined heat treatment.

第3図はタンタル膜12を100℃、150℃、200℃の各温
度で、熱処理時間に応じて内部応力が変化する量を示し
ている。このグラフに示すように熱処理温度は100℃以
上が好ましいことを示している。
FIG. 3 shows the amount of change in the internal stress of the tantalum film 12 at temperatures of 100 ° C., 150 ° C., and 200 ° C. depending on the heat treatment time. As shown in this graph, the heat treatment temperature is preferably 100 ° C. or higher.

第4図はタンタル膜12を200℃の温度で熱処理した3
個の試料の内部応力が熱処理時間に応じて変化する状態
を示している。熱処理前の内部応力は、試料イでは5.1
×108dyn/cm2、試料ロでは2.6×108dyn/cm2、そして、
試料ハでは0.5×108dyn/cm2を示している。熱処理の1
時間後の内部応力は、試料イでは2.6×108dyn/cm2、試
料ロでは1.5×108dyn/cm2、そして、試料ハでは−0.5×
108dyn/cm2を示している。熱処理の2時間後の内部応力
は、試料イでは1.7×108dyn/cm2、0.9×108dyn/cm2、そ
して、−0.9×108dyn/cm2を示している。
Figure 4 shows the tantalum film 12 heat treated at a temperature of 200 ° C. 3
The state where the internal stress of each sample changes with the heat treatment time is shown. The internal stress before heat treatment is 5.1 for sample b.
× 10 8 dyn / cm 2 , 2.6 × 10 8 dyn / cm 2 for sample B, and
Sample C shows 0.5 × 10 8 dyn / cm 2 . Heat treatment 1
The internal stress after the time is 2.6 × 10 8 dyn / cm 2 for Sample A, 1.5 × 10 8 dyn / cm 2 for Sample B, and -0.5 × for Sample C.
It shows 10 8 dyn / cm 2 . The internal stresses after 2 hours of heat treatment are 1.7 × 10 8 dyn / cm 2 , 0.9 × 10 8 dyn / cm 2 , and −0.9 × 10 8 dyn / cm 2 in Sample B.

このように、SiN膜10上に形成されたX線吸収性パタ
ーン16の内部応力は、±1×109dyn/cm2の範囲内で±1
×107dyn/cm2の精度で制御できる。
As described above, the internal stress of the X-ray absorbing pattern 16 formed on the SiN film 10 is ± 1 within a range of ± 1 × 10 9 dyn / cm 2.
It can be controlled with an accuracy of × 10 7 dyn / cm 2 .

なお、上記の熱処理は窒素ガス雰囲気中で行われた
が、この窒素ガス雰囲気は大気圧中または減圧中のいず
れかにおける雰囲気であっても熱処理の実験結果には大
差はなかった。
Although the above heat treatment was performed in a nitrogen gas atmosphere, there was no great difference in the experimental results of the heat treatment regardless of whether the nitrogen gas atmosphere was at atmospheric pressure or under reduced pressure.

また、上記の熱処理は第1b図の製造工程の中で行われ
たが、第1f図の工程でX線吸収性パターン16を形成した
後、熱処理を施すこともできる。
Although the above heat treatment is performed in the manufacturing process of FIG. 1b, it is also possible to perform the heat treatment after forming the X-ray absorbing pattern 16 in the process of FIG. 1f.

上記の実施例では第2図ないし第4図に実験データを
示したように、X線吸収性パターン16の内部応力は熱処
理に応じて比較的幅広い値に形成できるので内部応力は
自由に選択できる。
In the above-mentioned embodiment, as shown in the experimental data of FIGS. 2 to 4, the internal stress of the X-ray absorbing pattern 16 can be formed in a relatively wide range depending on the heat treatment, so that the internal stress can be freely selected. .

〔発明の効果〕〔The invention's effect〕

以上述べたことから本発明のX線露光用マスクの製造
方法で形成されたX線露光用マスクは、X線吸収性材料
領域を熱処理することにより従来のX線露光用マスクの
X線吸収性パターンが有する内部応力よりも低い内部応
力のX線吸収性パターンを形成できたので、従来、内部
応力が高いためにX線吸収性パターンにマスク歪が生じ
易くなっていたが、この問題は解消され、X線透過性薄
膜へのX線吸収性パターンの付着が良好となり、たとえ
X線透過性薄膜に変位が生じても影響を受けることはな
く、X線吸収性パターンの位置を正確に維持できる。こ
の結果、本発明によるX線露光用マスクを使用すること
により位置精度が良好なX線露光が実現できる。
From the above description, the X-ray exposure mask formed by the method for manufacturing an X-ray exposure mask of the present invention has the X-ray absorptivity of the conventional X-ray exposure mask obtained by heat-treating the X-ray absorptive material region. Since an X-ray absorptive pattern having an internal stress lower than the internal stress of the pattern could be formed, conventionally, mask strain is easily generated in the X-ray absorptive pattern due to the high internal stress, but this problem is solved. The adhesion of the X-ray absorptive pattern to the X-ray absorptive thin film is good, and even if the X-ray absorptive thin film is displaced, it is not affected and the position of the X-ray absorptive pattern is maintained accurately. it can. As a result, X-ray exposure with good positional accuracy can be realized by using the X-ray exposure mask according to the present invention.

【図面の簡単な説明】[Brief description of drawings]

第1a図ないし第1f図は本発明のX線露光用マスクの製造
方法による各工程を示す断面図、第2図は熱処理温度に
応じて内部応力が変化する状態を示すグラフ、第3図は
熱処理時間に応じて内部応力が変化する状態を示すグラ
フ、第4図は熱処理される試料に応じて内部応力が変化
する状態を示すグラフ、第5図は従来の製造工程を示す
断面図である。 1……基板(Siウエハ基板)、10……X線透過性膜(Si
N膜)、12……X線吸収性材料層(タンタル膜)、13,1
4,15……エッチングマスク(エッチングマスク層、レジ
ストパターン、エッチングマスクパターン)、16……X
線吸収性パターン。
1a to 1f are cross-sectional views showing each step of the method for manufacturing an X-ray exposure mask of the present invention, FIG. 2 is a graph showing a state in which internal stress changes according to a heat treatment temperature, and FIG. FIG. 4 is a graph showing a state in which internal stress changes according to heat treatment time, FIG. 4 is a graph showing a state in which internal stress changes according to a sample to be heat treated, and FIG. 5 is a cross-sectional view showing a conventional manufacturing process. . 1 ... Substrate (Si wafer substrate), 10 ... X-ray transparent film (Si
N film), 12 ... X-ray absorbing material layer (tantalum film), 13,1
4,15 …… Etching mask (etching mask layer, resist pattern, etching mask pattern), 16 …… X
Line absorption pattern.

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】基板に形成されたX線透過性薄膜上にスパ
ッタ装置を使用して高融点金属のX線吸収性材料領域を
形成した工程の後、前記X線吸収性材料領域を所定の時
間加熱する工程を備えたことを特徴とするX線露光用マ
スクの製造方法。
1. After the step of forming an X-ray absorbing material region of a refractory metal on a X-ray transmitting thin film formed on a substrate by using a sputtering apparatus, the X-ray absorbing material region is formed into a predetermined area. A method for manufacturing an X-ray exposure mask, comprising a step of heating for a time.
【請求項2】X線吸収性材料領域はX線透過性薄膜上に
スパッタ装置を使用して形成されたX線吸収性材料層で
あることを特徴とする特許請求の範囲第1項に記載のX
線露光用マスクの製造方法。
2. The X-ray absorptive material region is an X-ray absorptive material layer formed on the X-ray transmissive thin film by using a sputtering apparatus. X
Method for manufacturing line exposure mask.
【請求項3】X線吸収性材料領域はX線透過性薄膜上に
スパッタ装置を使用して形成されたX線吸収性材料層に
エッチングマスクを形成した後、エッチング処理により
形成されたX線吸収性パターンであることを特徴とする
特許請求の範囲第1項に記載のX線露光用マスクの製造
方法。
3. The X-ray absorptive material region is formed by forming an etching mask on an X-ray absorptive material layer formed on the X-ray absorptive thin film by using a sputtering apparatus and then performing an etching process. The method for producing an X-ray exposure mask according to claim 1, which is an absorptive pattern.
JP30919687A 1987-12-07 1987-12-07 Method of manufacturing mask for X-ray exposure Expired - Lifetime JP2543546B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30919687A JP2543546B2 (en) 1987-12-07 1987-12-07 Method of manufacturing mask for X-ray exposure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30919687A JP2543546B2 (en) 1987-12-07 1987-12-07 Method of manufacturing mask for X-ray exposure

Publications (2)

Publication Number Publication Date
JPH01150324A JPH01150324A (en) 1989-06-13
JP2543546B2 true JP2543546B2 (en) 1996-10-16

Family

ID=17990083

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30919687A Expired - Lifetime JP2543546B2 (en) 1987-12-07 1987-12-07 Method of manufacturing mask for X-ray exposure

Country Status (1)

Country Link
JP (1) JP2543546B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958627A (en) * 1996-09-03 1999-09-28 Hoya Corporation X-ray mask blank and method of manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS605519A (en) * 1983-06-24 1985-01-12 Nippon Telegr & Teleph Corp <Ntt> Mask for x-ray exposure and manufacture thereof
JPS60176235A (en) * 1984-02-22 1985-09-10 Nippon Kogaku Kk <Nikon> Masking original plate for x-ray exposure
JPS63290259A (en) * 1987-05-23 1988-11-28 Agency Of Ind Science & Technol Method for relieving internal stress of amorphous tungsten compound film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS605519A (en) * 1983-06-24 1985-01-12 Nippon Telegr & Teleph Corp <Ntt> Mask for x-ray exposure and manufacture thereof
JPS60176235A (en) * 1984-02-22 1985-09-10 Nippon Kogaku Kk <Nikon> Masking original plate for x-ray exposure
JPS63290259A (en) * 1987-05-23 1988-11-28 Agency Of Ind Science & Technol Method for relieving internal stress of amorphous tungsten compound film

Also Published As

Publication number Publication date
JPH01150324A (en) 1989-06-13

Similar Documents

Publication Publication Date Title
JP2823276B2 (en) Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
US5464711A (en) Process for fabricating an X-ray absorbing mask
JPH02213118A (en) Manafacture of sicmask supporting member for radiation lithography mask
JP2543546B2 (en) Method of manufacturing mask for X-ray exposure
JPH05335216A (en) X-ray mask and its manufacture
JPH10229043A (en) X-ray mask blank, its manufacture and manufacture of x-ray mask
JP3226250B2 (en) Transfer mask
JPH10161300A (en) X-ray mask blank, x-ray mask and pattern transfer method
JPH0194347A (en) Manufacture of mask for radiation lithography
JPH0345526B2 (en)
JP3631017B2 (en) X-ray mask blank and manufacturing method thereof, and X-ray mask and manufacturing method thereof
JP2000317896A (en) Manufacture of thin film plane structure
JPH09306812A (en) Manufacture of x-ray mask
JP3119237B2 (en) X-ray mask, method of manufacturing the same, semiconductor device and method of manufacturing the same
JPH06350050A (en) Method for forming dielectric insulating film film in charge storage part of semiconductor
JPH02123730A (en) Mask for radiation exposure and manufacture thereof
JPH0430737B2 (en)
JP3364151B2 (en) X-ray mask and manufacturing method thereof
JP3303858B2 (en) X-ray mask and manufacturing method thereof
JPS6116530A (en) Manufacture of semiconductor device
JPH03173116A (en) X-ray mask and manufacture thereof
JPS641926B2 (en)
JPH02307210A (en) Manufacture of x-ray mask
JPS61173252A (en) Formation of photomask material
JPH08264419A (en) Manufacture of x-ray mask