JPS605519A - Mask for x-ray exposure and manufacture thereof - Google Patents

Mask for x-ray exposure and manufacture thereof

Info

Publication number
JPS605519A
JPS605519A JP58112916A JP11291683A JPS605519A JP S605519 A JPS605519 A JP S605519A JP 58112916 A JP58112916 A JP 58112916A JP 11291683 A JP11291683 A JP 11291683A JP S605519 A JPS605519 A JP S605519A
Authority
JP
Japan
Prior art keywords
layer
ray
pattern
mask
ray exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP58112916A
Other languages
Japanese (ja)
Other versions
JPH0458171B2 (en
Inventor
「よし」原 秀雄
Hideo Yoshihara
Akira Ozawa
小澤 章
Misao Sekimoto
関本 美佐雄
Toshiro Ono
俊郎 小野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP58112916A priority Critical patent/JPS605519A/en
Priority to US06/513,954 priority patent/US4515876A/en
Priority to DE19833325832 priority patent/DE3325832A1/en
Priority to FR8311817A priority patent/FR2542882B1/en
Publication of JPS605519A publication Critical patent/JPS605519A/en
Publication of JPH0458171B2 publication Critical patent/JPH0458171B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

PURPOSE:To highten the accuracy in contrast and measurements of the titled mask as well as to enable to obtain the device at low cost by a method wherein a single layer of high melting point metal is formed on a mask substrate, the mask substrate side of said single layer is formed by granular crystal grains of high melting point metal, and an X-ray absorbing material is obtained. CONSTITUTION:An SiN mask substrate 22 having the property of X-ray transmission is formed on the wafer 21 consisting of Si, and an X-ray absorbing layer 23 of low internal stress consisting of a high melting point metal is formed by performing a high frequency sputtering method and the like on said mask substrate 22. Then, the resist 24 consisting of a high molecular material is applied by performing a spin-coating method, and a resist pattern 24 having the desired microscopic pattern is formed from the resist 24 by performing an exposure process having the desired microscopic pattern for the resist 24 and a developing process. Said resist pattern 24' performs the function as an electron absorbing body in the final stage of manufacture. Then, an X-ray absorbing pattern 23, consisting of Ta and having the desired microscopic pattern, and an Si frame 21' consisting of the circumferential part of the wafer 21 are formed.

Description

【発明の詳細な説明】 (技術分野) 本発明は、半導体集積回路製造のための微細パターン転
写技術であるX線霞光技術に関し、特に高いコントラス
トとサブミクロンのパターンを有するX線露光用マスク
およびその製法に関するものである。
Detailed Description of the Invention (Technical Field) The present invention relates to X-ray haze technology, which is a fine pattern transfer technology for manufacturing semiconductor integrated circuits, and particularly relates to an X-ray exposure mask having high contrast and submicron patterns, and It is related to its manufacturing method.

(従来技(−トi) 周知のとおり、X線霜光は波長が4〜50人の軟X線を
線源として用い、サブミグロンの微細パターンを転写す
る技術である。一般に、X線マスクは軟X線をよく吸収
する吸収体パターンと、それを支え、しかも軟X線をよ
く透過させるX線マスク基板とから構成される。
(Prior art (-i)) As is well known, X-ray frost light is a technology that uses soft X-rays with a wavelength of 4 to 50 as a radiation source to transfer submicron fine patterns. It consists of an absorber pattern that absorbs soft X-rays well and an X-ray mask substrate that supports it and also allows soft X-rays to pass through well.

吸収体パターンの材料としては、軟X線をよく吸収する
ものを用いることが必要である。ここで、軟X線波長を
決定すれば、線吸収係数から吸収体での軟X線の吸収量
は容易に計算できる。第1A図、第1B図および第1 
Cl’4は、Mo −L線(5,41人) 、 5i−
K 線(7,13人)および人文−に線(a、34人)
に対する各種材料の層厚に対するX線減衰率をそれぞれ
示す。
It is necessary to use a material for the absorber pattern that absorbs soft X-rays well. Here, if the soft X-ray wavelength is determined, the amount of soft X-ray absorbed by the absorber can be easily calculated from the linear absorption coefficient. Figure 1A, Figure 1B and Figure 1
Cl'4 is Mo-L line (5,41 people), 5i-
K line (7, 13 people) and humanities - line (A, 34 people)
The X-ray attenuation rates are shown for each layer thickness of various materials.

第1A図ないし第tC図から明らかなように、マスクコ
ンI・ラストを十分にとるためにX線減衰率を10dB
程度にするためには、金Au、タンタルTa。
As is clear from Figures 1A to tC, the X-ray attenuation rate was set to 10 dB in order to obtain a sufficient mask con I last.
In order to achieve a certain level, gold (Au) and tantalum (Ta) are used.

タングステン(す、レニウムReのように原子番号の大
きい元素を用いればよいことが容易に検察される。
It is easily determined that an element with a large atomic number, such as tungsten (S) or rhenium (Re), should be used.

例えは、特開昭54−141571号(特願昭53−4
8717号)の「軟X線リソグラフィー用マスク」には
、金Au 、 白金Pt、パラジウムPd、タングステ
ンW。
For example, Japanese Patent Application Laid-Open No. 54-141571 (Japanese Patent Application No. 53-4
No. 8717) "Mask for Soft X-ray Lithography" contains gold (Au), platinum (Pt), palladium (Pd), and tungsten (W).

、タンタルTa、ホルミウムHo、エルビウムEr、ウ
ランU等の軟X線吸収物質の緊張した薄層に微小パター
ン開口部を1没け、このパターン開口部を軟X線透過部
とする軟X線マスクが開示されている。
, a soft X-ray mask in which a minute pattern opening is sunk into a thin layer of soft X-ray absorbing material such as tantalum Ta, holmium Ho, erbium Er, uranium U, etc., and this pattern opening becomes a soft X-ray transmitting part. is disclosed.

米国出願第810469号に対応する日本出願である特
開昭54−11677号(特願昭53−71437号)
の[薮細ラインリソグラフィに用いるマスクおよびその
製造方法」には、化学放射線に対して透明なパリレン等
の重合体を含む薄層からなるマスク基板と化学放射線を
吸収する希土類酸化物、金Au、白蛍Pt、ウランυ、
インジウムInおよび他の密度の高い高原子番号の元素
からなる吸収体を備えたマスクが開示され、金Ar、白
金Pt、ウランυおよび他の密度の高い金属の吸収層の
形成法として、イオンエツチング法、めっき法ならびに
リフトオフ法が記載されている。しかし、これらの方法
で密度の高い電属のパターンを加工することは困難であ
る。
Japanese Patent Application No. 54-11677 (Japanese Patent Application No. 53-71437), which is a Japanese application corresponding to U.S. Application No. 810469.
``A mask used in thin line lithography and its manufacturing method'' includes a mask substrate consisting of a thin layer containing a polymer such as parylene that is transparent to actinic radiation, a rare earth oxide that absorbs actinic radiation, gold Au, White firefly Pt, uranium υ,
Masks with absorbers composed of indium In and other dense high atomic number elements are disclosed, and ion etching is disclosed as a method for forming absorber layers of gold Ar, platinum Pt, uranium υ and other dense metals. method, plating method and lift-off method are described. However, it is difficult to process dense metal patterns using these methods.

更に、John N、RandallおよびJ、C,W
olf eによる[タングステンにおける高解像度パタ
ーンの規定(High−resolution pat
tern definition intungust
en)J (APPlied Physics Let
ters 39(9)。
Additionally, John N., Randall and J.C.W.
High-resolution pattern definition in tungsten (High-resolution pattern definition in tungsten) by
tern definition intungust
en)J (APPlied Physics Let
ters 39(9).

l November 1881.P、?42)には、
ガラス基板上に薄いアルミニウム1層を介在させて吸収
“体としてタングステンW層を付着させて反応性スパッ
タエッチで微細パターンを形成している。しかし、ここ
では、ガラス基板上にイCj着したタングステン層につ
いての実験のみで、X線マスク基板上に高融点金属であ
るタングステン層を付着したときに内部応力を低減化さ
せることについては何ら言及されておらず、実用的マス
クとなっていない。
l November 1881. P.? 42),
A thin aluminum layer is interposed on a glass substrate, a tungsten W layer is deposited as an absorber, and a fine pattern is formed by reactive sputter etching. This is only an experiment regarding layers, and there is no mention of reducing internal stress when a tungsten layer, which is a high melting point metal, is deposited on an X-ray mask substrate, and the mask is not a practical mask.

実際には、吸収体として使用されている材料はAuのみ
である。その理由は、これまでのTa、W、Re等の高
融点金属は層形成時に高い応力をもつようになるため、
薄いマスク基板を破損したり、ひずませたりするからで
ある。
In fact, the only material used as the absorber is Au. The reason for this is that conventional high melting point metals such as Ta, W, and Re have high stress during layer formation.
This is because the thin mask substrate may be damaged or distorted.

そこで、吸収体パターン材料としては、加工か比較的容
易なAuが採用されているのが実状である。吸収体とし
てAuを用いる場合、マクスコント5ラストとして10
dBを得るためには、 AM−に線(8,34人)に対
して0.52gmの厚さ、また、Si−に線Lニア、1
3人)に対しては約Q、88gmの厚さが8費となる。
Therefore, the current situation is that Au, which is relatively easy to process, is used as the absorber pattern material. When using Au as the absorber, Maxcont 5 last is 10
To obtain dB, a thickness of 0.52 gm for the wire (8,34) on the AM-, and a thickness of 0.52 gm on the wire L near the Si-, 1
For 3 people), the thickness of about Q, 88gm will cost 8.

従って、パターン幅を0.5 p、mとする場合には、
アスペクト比が1以上となる。
Therefore, when the pattern width is 0.5 p, m,
The aspect ratio is 1 or more.

従来のAu吸収体形成法とし士は、大別1−て、絶縁層
を加工して、それをマスクに金めつきする方法とイオン
エツチング法とかある。
Conventional methods for forming an Au absorber can be roughly divided into two methods: a method in which an insulating layer is processed and gold plated onto a mask, and an ion etching method.

絶縁物をめっきマスクとして、Auの微細パターンを電
気めっきで形成する方法では、急峻な側壁を持つサラミ
クロンパターンを形成できるが、寸法の異るパターンが
4昆在した場合に、電流密度分11iが不均一になり、
寸法の小さなパターンの層厚が薄くなり、めっき液の品
質管理が難しく、Auパターン品質かばらつき、工程数
が多い等の欠点を有していた。
In the method of forming fine Au patterns by electroplating using an insulator as a plating mask, it is possible to form a Saramicron pattern with steep sidewalls, but when there are four patterns with different dimensions, the current density is 11i. becomes uneven,
The layer thickness of small-sized patterns becomes thinner, the quality control of the plating solution is difficult, the Au pattern quality varies, and the number of steps is large.

イオンエンチングでAu吸収体パターンを形成する場合
には、例えば、第2A図に示すように、Siでなるウニ
ハエ」−に、X線を透過させる性賀を有する材料、例え
ばSiNや5i3N9 などによるマスク基板2を配置
し、更にAu吸収体とマスク基板2どの伺zf力を確保
するための薄いチタンT1またはタンタルTaのド地層
3をマスク基板2上に設け、そのド地層3の七に第2B
図に示すように、吸収体となるAuを堆積ごせてX線吸
収体層4を形成する。次に、第2C図に示すように、T
i、Ta等の金属層5を形成し、更にこの金属層5上に
フォトレジスト層6を第2D図のように形成する。
When forming an Au absorber pattern by ion etching, for example, as shown in FIG. A mask substrate 2 is arranged, and a thin layer 3 of titanium T1 or tantalum Ta is provided on the mask substrate 2 to ensure the zf force between the Au absorber and the mask substrate 2. 2B
As shown in the figure, an X-ray absorber layer 4 is formed by depositing Au to serve as an absorber. Next, as shown in FIG. 2C, T
A metal layer 5 of I, Ta, etc. is formed, and a photoresist layer 6 is further formed on this metal layer 5 as shown in FIG. 2D.

次いで、そのフォトレジスト層6に対する所望のパター
ンを有する露光処理、それに続く現像処理によって第1
E図に示すようにフォトレジスト層6から、所望のパタ
ーンを有するフォトレジスト層6′を形成する。
Next, the photoresist layer 6 is subjected to an exposure process having a desired pattern, followed by a development process to form a first pattern.
As shown in Figure E, a photoresist layer 6' having a desired pattern is formed from the photoresist layer 6.

次に、そのフォトレジスト層6′をマスクとして、例え
ばCF4のプラズマを用いたエンチング処理により、第
2E図に示すように、金lt層5から、所望のパターン
を有する工・ンチングマスク5′を形成する。次に、エ
ツチングマスク5′上よりフォトレジスト層6′を除去
し、そしてエツチングマスク層5′ を用いてAtカス
等の不活性ガスによるイオンで、X線吸収体層4に対す
るイオンエツチング処理を施して、第2G図に示すよう
に、X線吸収体層4から、所望のパターンを有するX線
吸収体パターン4′を形成し、引き続き、下地層3に対
するイオンエツチング理を施す。
Next, using the photoresist layer 6' as a mask, an etching mask 5' having a desired pattern is formed from the gold LT layer 5 by etching treatment using, for example, CF4 plasma, as shown in FIG. 2E. do. Next, the photoresist layer 6' is removed from above the etching mask 5', and the X-ray absorber layer 4 is subjected to ion etching using ions of an inert gas such as At scum using the etching mask layer 5'. Then, as shown in FIG. 2G, an X-ray absorber pattern 4' having a desired pattern is formed from the X-ray absorber layer 4, and then an ion etching process is performed on the base layer 3.

しかる後、ウェハ1に対するマスクを用いたエツチング
処理により、ウェハ1から、その周りの部分でなる31
枠1′を形成し、以上により所望のX線露光用マスクを
冑・る。
After that, by etching the wafer 1 using a mask, etching is performed on the wafer 1 and the surrounding area 31.
A frame 1' is formed, and a desired X-ray exposure mask is removed as described above.

この方法では、エンチングされたAu粒子がパターン4
′の側壁等に再付着することや、Ti、Ta等のエツチ
ングマスク 5′のイオンエツチングによる後退が大き
いこと等のために、第2G図に示すように、Au吸収体
パターン4′の側壁の断面の傾斜角は75″程度になる
。従って、このように、従来は、イオンエツチング法に
よっては十分なコントラストを有するサブミクロンオー
ダーの微細なAu吸収体パターンの形成は困難であった
In this method, the etched Au particles are
As shown in Fig. 2G, the sidewalls of the Au absorber pattern 4' are re-attached to the sidewalls of the Au absorber pattern 4', and the etching mask 5' of Ti, Ta, etc. is largely retreated due to ion etching. The inclination angle of the cross section is about 75''. Therefore, conventionally, it has been difficult to form a fine Au absorber pattern on the submicron order with sufficient contrast using the ion etching method.

Auのイオンエンチング法の上記の欠点を解決するため
に、W層のSF6+ 02混合ガスによる反応性スパン
タエッチングが検討されている(AppliedPhy
sics 1etters、 39(9) 、P、74
2)(上掲)および同41(+) P、247参照)。
In order to solve the above-mentioned drawbacks of the Au ion etching method, reactive spanter etching of the W layer using SF6+02 mixed gas has been investigated (AppliedPhys.
sics 1etters, 39(9), P, 74
2) (supra) and 41(+) p. 247).

W層の形成では、応力の低減化が十分・でなく、カラス
基板にAn下地層を堆積して、その−LにW層を形成し
ている。また、実用的なマスク基板としてのポリイミド
層を用いた場合にも、100人厚さのAU下地層を堆積
して、その上に800人厚さのW層を形成している。こ
のように、内部応力を低減させることのできない薄いタ
ングステン層では通常用いる軟X線に対して十分なコン
トラストを持たないので、実用的なマスクとなり得ない
In forming the W layer, stress reduction was not sufficient, so an An underlayer was deposited on the glass substrate, and the W layer was formed on the -L layer. Furthermore, even when a polyimide layer is used as a practical mask substrate, an AU base layer with a thickness of 100 layers is deposited, and a W layer with a thickness of 800 layers is formed thereon. As described above, a thin tungsten layer whose internal stress cannot be reduced does not have sufficient contrast to normally used soft X-rays, and cannot be used as a practical mask.

また、X線マスクとして用いるためには、アライメント
のためにAn下地層の除去が必要である。しかし、W吸
収体パターンを損傷することなくA9.下地層を除去す
ることは困難であり、実用的X線マスク用吸収体となら
ないという欠点を有していた。
Furthermore, in order to use it as an X-ray mask, it is necessary to remove the An underlayer for alignment. However, A9 without damaging the W absorber pattern. It is difficult to remove the underlayer, and this has the disadvantage that it cannot be used as a practical absorber for X-ray masks.

TaはX線マスク用吸収体材料として非常に有望である
。しかし、高融点材料であるTa層の内部応力の低減化
は難しい。大きな内部応力を有するTa層は、マスク基
板から剥離したり、マスク基板に大きな歪を発生させる
。はなはだしい場合には、かかるTa層によってマスク
基板が損傷してしまうこともある。このように、大きな
内部応力を有するTa層では、工程短縮の図れるTa単
一層の吸収体からなるX線露光用マスクの実現は不可能
であった。
Ta is very promising as an absorber material for X-ray masks. However, it is difficult to reduce the internal stress of the Ta layer, which is a high melting point material. A Ta layer having a large internal stress may peel off from the mask substrate or cause large strain on the mask substrate. In severe cases, the Ta layer may damage the mask substrate. As described above, with a Ta layer having a large internal stress, it has been impossible to realize an X-ray exposure mask consisting of a Ta single layer absorber that can shorten the manufacturing process.

(11的) そこて1本発明の目的は、上述した欠点を除去し、X線
露光に適した軟X線波長範囲でAuと同程度のX線吸収
係数をもつTaやWなどの高融点金属を吸収体として用
い、高いコントラストを有し、;j−法精度が高く、し
かも序価に構成することのできるX !! 露光用マス
クを提供することにある。
(11th point) Therefore, the object of the present invention is to eliminate the above-mentioned drawbacks and to use high-melting point materials such as Ta and W, which have an X-ray absorption coefficient comparable to that of Au in a soft X-ray wavelength range suitable for X-ray exposure. X! uses metal as an absorber, has high contrast, has high j-method accuracy, and can be configured in a simple manner. ! The purpose of the present invention is to provide an exposure mask.

本発明の他の目的は、少い製造工程で!1産性よ〈、高
精瓜で微細パターンを形成することができるX線露光用
マスクの製造方法を提供することにある。
Another object of the present invention is to use fewer manufacturing steps! One object of the present invention is to provide a method for manufacturing an X-ray exposure mask that can form fine patterns using high-definition melons.

(発明の構成) 本発明では、X線吸収能が高く、反応性スバ・ンタエッ
チングが使用できる等の点に着目し、吸収体材料として
TaやWなどの高融点金属を選び、その吸収体層の低内
部応力化と微細パターンエツチングについて検討してX
線露光用マスクを実現した。
(Structure of the Invention) In the present invention, a high melting point metal such as Ta or W is selected as the absorber material, paying attention to its high X-ray absorption ability and the ability to use reactive substrate etching. Examining low internal stress of layers and fine pattern etching
A mask for line exposure was realized.

上述の目的を達成するために、本発明X線ター光用マス
クは、マスク基板と、このマスク基板上に高融点金属の
屯一層で形成され、その中一層のうち、少なくともマス
ク基板側が高融点金属の粒状の結晶粒で形成され、しか
も所望のパターンを有するX線吸収体層とを具える。
In order to achieve the above object, the X-ray radiation mask of the present invention includes a mask substrate and a single layer of high melting point metal on the mask substrate, of which at least the mask substrate side has a high melting point. The X-ray absorber layer is formed of granular metal crystal grains and has a desired pattern.

本発明の好適例では、上述した単一層の全体を高融点金
属の粒状の結晶粒で形成する。
In a preferred embodiment of the invention, the single layer described above is formed entirely of granular grains of refractory metal.

本発明の好適例では、上述したX線吸収体層−Hに電子
吸収体層を形成する。
In a preferred embodiment of the present invention, an electron absorber layer is formed on the above-mentioned X-ray absorber layer -H.

本発明において、高融点金属はタンタルまたはタングス
テンとすることができる。
In the present invention, the refractory metal can be tantalum or tungsten.

上述した電子吸収体層は酸化シリコン層、窒化シリコン
層または高分子層とすることができる。
The electron absorber layer mentioned above can be a silicon oxide layer, a silicon nitride layer or a polymer layer.

」二連したマスク基板は、5INIS13N 4 + 
Sac。
” The double mask substrate is 5INIS13N 4 +
Sac.

BN、ポリイミド樹脂のいずれか、またはこれらの組合
せとすることができる。
It can be made of BN, polyimide resin, or a combination thereof.

本発明X線露光用マスクの製法においては、希ガスのガ
ス流量とガス圧の調節機構を有するスパッタ装置におい
て、試料台上に大地電位から電気的に絶縁された状態で
X線マスク基板を有する試料を数置する第1工fj1と
、 )−述したガス流量およびガス圧を所定値に設定し、そ
の条件の下でX線マスク基板」二に高融点金属の層を形
成する第2工程と1 、’gノ、’融点金属層に反応性スパッタエツチングを
施して所望パターンのX線吸収体層を形成する第3丁石
′とを含む。
In the method for manufacturing an X-ray exposure mask of the present invention, an X-ray mask substrate is placed on a sample stage in a state electrically insulated from ground potential in a sputtering apparatus having a mechanism for adjusting the gas flow rate and gas pressure of a rare gas. A first step fj1 in which several samples are placed, and a second step in which the above-mentioned gas flow rate and gas pressure are set to predetermined values and a high melting point metal layer is formed on the X-ray mask substrate under these conditions. and a third step for performing reactive sputter etching on the melting point metal layer to form an X-ray absorber layer in a desired pattern.

ここで、第3 ]二4¥ +よ、タンタルまたはタング
ステンによる高融点金属層上にレジストパターンを形成
し、そのレジストパターンをマスクとして、CBrF3
カスによる反応性スバツタエッチンクを施して所5′!
パターンのX線吸収体層を形成する工4vを含むのが好
適である。
Here, a resist pattern is formed on the high melting point metal layer of tantalum or tungsten, and using the resist pattern as a mask, CBrF3
5' after applying reactive spatter etching using dregs!
Preferably, the method includes step 4v of forming a patterned X-ray absorber layer.

さらにまた、ここで、第3の工程は、タンタルまたはタ
ングステンによる高融点金属層上に酸化シリコン層また
は窒化シリコン層を形成し、その酸化シリコン層または
窒化シリコン層上にレジストパターンを形成し、かかる
レジストパターンをマスクとして反応性スパッタエツチ
ングにより上述の酸化シリコン層または窒化シリコン層
を加工して醇化シリコン層または窒化シリコン層のパタ
ーンを形成し、当該パターンをマスクとして、CBrF
3ガスによる反応性スパッタエツチングを施して所望パ
ターンのX線吸収体層を形成する工程を含むのが好適で
ある。
Furthermore, the third step is to form a silicon oxide layer or a silicon nitride layer on the high melting point metal layer made of tantalum or tungsten, form a resist pattern on the silicon oxide layer or silicon nitride layer, and The silicon oxide layer or silicon nitride layer is processed by reactive sputter etching using the resist pattern as a mask to form a pattern of the silicon oxide layer or silicon nitride layer, and using the pattern as a mask, CBrF is etched.
Preferably, the method includes a step of performing reactive sputter etching with three gases to form the X-ray absorber layer in the desired pattern.

あるいはまた、第3の工程は、タンタルまたはタングス
テンによる高融点金属層−ヒに耐ドライエツチング性に
優れた高分子層を塗布し、当該高分子層上にレジストパ
ターンを形成し、そのレジストパターン上にチタンまた
はクロムをM!し、さらにリフトオンすることによりチ
タンまたはクロムパターンを形成し、当該チタンまたは
クロムパターンをマスクにして酸素ガスによる反応性ス
パッタエツチングで高分子パターンを形成し、次いで、
その高分子パターンをマスクとして、CBrF:+ガス
による反応性スパッタエツチングで所望パターンのX線
吸収体を形成するのが好適である。
Alternatively, in the third step, a high-melting point metal layer made of tantalum or tungsten is coated with a polymer layer having excellent dry etching resistance, a resist pattern is formed on the polymer layer, and a resist pattern is formed on the resist pattern. Add titanium or chrome to M! Then, a titanium or chromium pattern is formed by further lift-on, and a polymer pattern is formed by reactive sputter etching using oxygen gas using the titanium or chromium pattern as a mask.
Using the polymer pattern as a mask, it is preferable to form an X-ray absorber with a desired pattern by reactive sputter etching using CBrF:+ gas.

なお、希ガスはクセノン、アルゴンまたはクリプトンの
いずれかとすることができる。
Note that the rare gas can be xenon, argon, or krypton.

本発明においては、丘述した第2工程で得られる高融点
金属層が粒状の結晶粒を含む層となるように、スパッタ
装置のガス流量およびガス圧を調節するのが好適である
In the present invention, it is preferable to adjust the gas flow rate and gas pressure of the sputtering apparatus so that the high melting point metal layer obtained in the second step described above becomes a layer containing granular crystal grains.

本発明においては、高融点金属層のうち、少なくとも、
X線マスク基板の側が粒状の結晶粒であるようにするこ
とができる。
In the present invention, among the high melting point metal layers, at least
The side of the X-ray mask substrate can have granular crystal grains.

本発明の好適例では、上述した第1工程において、試料
台上のSiウェハなどの試料を大地電位に対して浮動状
態とすることができる。
In a preferred embodiment of the present invention, in the first step described above, the sample such as the Si wafer on the sample stage can be placed in a floating state with respect to the ground potential.

ここで、試料の表面が一10V〜−20Vの浮動電位に
なるように、スパッタ装置のガス圧とスパッタ装置に供
給する高周波電力とを調整することができる。
Here, the gas pressure of the sputtering device and the high frequency power supplied to the sputtering device can be adjusted so that the surface of the sample has a floating potential of 110V to -20V.

あるいはまた、第1工程において、試・料金上の試料に
一10V〜−20Vの直流バイアス電位を印加するよう
にすることもできる。
Alternatively, in the first step, a DC bias potential of -10V to -20V may be applied to the sample on the sample.

(実 施 例) 以下、図面を参照して本発明の詳細な説明する。(Example) Hereinafter, the present invention will be described in detail with reference to the drawings.

本発明X線露光用マスクの2例の断面を第3図および第
4図に示す。第3図において、11はX線をよく透過す
るマスク基板、12はTaやWなどの高融点金属による
X線吸収体バタン、13はX線吸収体パターン12を支
持する81枠である。
Cross sections of two examples of the X-ray exposure mask of the present invention are shown in FIGS. 3 and 4. In FIG. 3, 11 is a mask substrate that transmits X-rays well, 12 is an X-ray absorber button made of a high melting point metal such as Ta or W, and 13 is a frame 81 that supports the X-ray absorber pattern 12.

第4図の例では、第3図の例に加えて、X線吸収体パタ
ーン12の上面に酸化シリコン(Si(h )膜、窒化
シリコン(SiN、Si3N斗)膜またはポリイミドな
どの高分子膜による電子吸収体層14を配置する。マス
ク基板11の材料としては、SiN、5i3No。
In the example shown in FIG. 4, in addition to the example shown in FIG. The material of the mask substrate 11 is SiN, 5i3No.

BN、SiC,ポリイミド樹脂、マイラーまたはこれら
を組合せたものなどを用いることができる。高精度パタ
ーンを得るためにはS!N、5j31% 、 BN、S
iCを用いるのが好適である。
BN, SiC, polyimide resin, Mylar, or a combination thereof can be used. To obtain high-precision patterns, S! N, 5j31%, BN, S
It is preferred to use iC.

本発明ではマスク基板inに11などの下地層を設けな
いが、マ槓り基板ll自体を上述した材料によるX線透
過層15およびこのX線透過層15の上に配置17た反
射率を高めるためのアルミニウム層から構成することも
できる。このような多層構成の場合も含めて1本発明で
は、マスク基板を定義する。
In the present invention, a base layer such as 11 is not provided on the mask substrate 11, but the mask substrate 11 itself has an X-ray transparent layer 15 made of the above-mentioned material and is placed 17 on this X-ray transparent layer 15 to increase the reflectance. It can also be constructed from an aluminum layer. In the present invention, a mask substrate is defined including the case of such a multilayer structure.

本発明によるX線露光用マスクの第1の実施例およびそ
の製法の実施例を第5A図〜第5F図を参照して説明す
る。
A first embodiment of an X-ray exposure mask according to the present invention and an embodiment of its manufacturing method will be described with reference to FIGS. 5A to 5F.

まず、第5A図に示すように、例えばSiでなるウェハ
21」−に、X線を透過させる性質を有する、例えば厚
さ2ルmのSiNでなるマスク基板22を形成する。こ
こで、後述するように、このTa層23の内部応力を低
減化して±l X 109dyne/cm2以内に制御
するのが好ましく、そのために、後に示すように、ガス
流液調節機構とガス圧調節用コンダクンスパルブを設け
た高周波スパッタ装置においてTa層23を形成するの
が好適である。
First, as shown in FIG. 5A, a mask substrate 22 made of, for example, SiN and having a thickness of 2 m, which has a property of transmitting X-rays, is formed on a wafer 21 made of, for example, Si. Here, as will be described later, it is preferable to reduce the internal stress of this Ta layer 23 and control it within ±1 x 109 dyne/cm2. It is preferable to form the Ta layer 23 in a high frequency sputtering apparatus equipped with a conductive pulse.

次いで、第5B図に示すように、そのマスク基板22の
土に、高融点金属1例えばTaでなる!氏内部応力のX
線吸収体層23を、高周波スパッタリング法等によって
、8000人程度0厚さに形成する。
Next, as shown in FIG. 5B, a high melting point metal 1 made of Ta, for example, is applied to the soil of the mask substrate 22! Mr. internal stress X
The line absorber layer 23 is formed to a thickness of about 8,000 by high frequency sputtering or the like.

次に、第5C図に示すように、このX線吸収体層23」
二に、高分子材でなるレジスト24、例えばPMMAレ
ジストを、スピンコード法によって厚さ0.5μm程度
に塗布する。
Next, as shown in FIG. 5C, this X-ray absorber layer 23''
Second, a resist 24 made of a polymeric material, for example a PMMA resist, is applied to a thickness of about 0.5 μm by a spin code method.

次いで、そのレジスト24に対して、所望の微細パター
ンを有する露光処理(例えば、紫外線露光、電子ビーム
露光、イオンビーム霧光)、それに続く現像処理によっ
て、第5D図に示すように、レジスト24から、所望の
微細パターンを有するレジストパターン24′ を形成
する。なお、このレジストパターン24′ は最終的に
は電子吸収体の役割を果たす。
Next, the resist 24 is exposed to a desired fine pattern (e.g., ultraviolet light exposure, electron beam exposure, ion beam mist light), followed by development treatment, as shown in FIG. 5D. , a resist pattern 24' having a desired fine pattern is formed. Note that this resist pattern 24' ultimately plays the role of an electron absorber.

次に、第5E図に示すように、レジストパターン24′
ヲマスクとして、CBrF3ガスによる反応性スバ・ン
タエッチング処理をX線吸収体層23に施して、所望の
微細パターンを有するTaでなるX線吸収体パターン2
3′ を形成する。
Next, as shown in FIG. 5E, the resist pattern 24'
As a mask, the X-ray absorber layer 23 is subjected to a reactive substrate etching process using CBrF3 gas to form an X-ray absorber pattern 2 made of Ta having a desired fine pattern.
3' is formed.

最後に、第5F図に示すように、S1ウエハ21に対す
るエツチング処理により、ウェハ21の周囲の部分でな
るSi枠21′ を形成する。以上により、所望の微細
パターンを有するX線露光用マスクを得る。
Finally, as shown in FIG. 5F, the S1 wafer 21 is etched to form a Si frame 21' around the wafer 21. Through the above steps, an X-ray exposure mask having a desired fine pattern is obtained.

上剥では、 CBrF3ガスを用いる反応性スバツタ工
・ンナング]二JS4において、工・ンチングマスクと
してレジストパターンを用いたが1、第6A図〜第6H
図に示すように、たとえば酸化シリコン層または窒化シ
リコン層をまずレジストパターンを用いて027;、 
6CF4+)l:Lなどのガスで反応性スパッタエツチ
ングを行い、この酸化シリコン層または窒化シリコン層
の微細パターンをマスクにして十例と同様の方法でTa
吸収体層を形成することもできる。
In the top stripping, a resist pattern was used as the etching mask in JS4, but Figures 6A to 6H
As shown in the figure, for example, a silicon oxide layer or a silicon nitride layer is first formed using a resist pattern.
Reactive sputter etching is performed using a gas such as 6CF4+)l:L, and using the fine pattern of this silicon oxide layer or silicon nitride layer as a mask, Ta is etched in the same manner as in Example 10.
An absorber layer can also be formed.

更に詳述すると、第6A図において、Siウェハ21」
−に、マスク基板22を形成し、次いで、第6B図に示
すように、そのマスク基板22の上に、第5B図で]二
連したと同様に、TaでなるX線吸収体層23を形成す
る。
More specifically, in FIG. 6A, the Si wafer 21"
- Then, as shown in FIG. 6B, an X-ray absorber layer 23 made of Ta is formed on the mask substrate 22 in the same manner as shown in FIG. 5B. Form.

次に、第6C図に示すように、X線吸収体層23上に、
後に電子吸収体層として使用する5i02層によるエツ
チングマスク層25を、それ自体は公知の種々の方法で
、例えば厚さ2000人に形成する。
Next, as shown in FIG. 6C, on the X-ray absorber layer 23,
An etching mask layer 25 consisting of a 5i02 layer, which will later be used as an electron absorber layer, is formed to a thickness of, for example, 2000 mm by various methods known per se.

次に、そのエツチングマスク層25上に、160図に示
すように、レジスト層26を形成し、次いで、そのレジ
スト層26に対する、所望のパターンを有する露光処理
、それに続く現像処理によって、第6E図に示すように
、レジスト層26から、所望のパターンを有するレジス
トパターン26′ を形成する。
Next, a resist layer 26 is formed on the etching mask layer 25 as shown in FIG. 160, and then the resist layer 26 is subjected to an exposure process having a desired pattern, followed by a development process as shown in FIG. 6E. As shown in FIG. 2, a resist pattern 26' having a desired pattern is formed from the resist layer 26.

次に、レジストパターン26′ をマスクとして、C2
F6やCF4キーなどのガスで反応性スパッタエツチン
グ処理を施して、第6F図に示すように、エツチングマ
スク層25から、所望の微細パターンを有する5i02
でなるエツチングマスクパターン25′ を形成する。
Next, using the resist pattern 26' as a mask, C2
A reactive sputter etching process is performed using a gas such as F6 or CF4 to remove 5i02 having a desired fine pattern from the etching mask layer 25, as shown in FIG. 6F.
An etching mask pattern 25' is formed.

なお、このエンチングマスクパターン25′ は最終的
には゛jE子吸IIy体の役割を果たす。
Incidentally, this etching mask pattern 25' ultimately plays the role of a ``jE child absorption IIy'' body.

次に、第6G図に示すように、レジストパターン2B’
 iエツチングマスクパターン25’ J−から除去し
、次いで、X線吸収体層23に対して、エツチングマス
クパターン25′ をマスクとするCBrF3ガスによ
る反応性スパッタエツチング処理を施して、X線吸収体
層23から所望の微細パターンを有する、TaでなるX
線吸収体パターン23′ を形成する。
Next, as shown in FIG. 6G, resist pattern 2B'
i etching mask pattern 25' is removed from J-, and then the X-ray absorber layer 23 is subjected to a reactive sputter etching process using CBrF3 gas using the etching mask pattern 25' as a mask to form the X-ray absorber layer 23. X made of Ta having a desired fine pattern from 23
A line absorber pattern 23' is formed.

最後に、第6H図に示すように、従来例と同様に、81
枠を形成する。すなわち、Siウェハ21に対するエツ
チング処理により、このウェハ21から、その周囲の部
分でなるSi枠21’ を形成し、かくして、所望の微
細パターンを有するX線露光用マスクを得る。
Finally, as shown in FIG. 6H, 81
form a frame. That is, by etching the Si wafer 21, a Si frame 21' is formed around the wafer 21, thereby obtaining an X-ray exposure mask having a desired fine pattern.

さらにまた、マスクのポジ・ネガ反転を行うためには、
第7A図〜第7J図に示すような工程をとる。まず、第
5A図についてJr、述したと同様に、第7八図の工程
では Siウェハ21上にマスク基板22を形成し、次
に、第7B図に示すように、そのマスクJk h 22
の上に、第5B図で上述したと同様に、TaでなるX線
吸収体層23を形成する。
Furthermore, in order to perform positive/negative inversion of the mask,
The steps shown in FIGS. 7A to 7J are taken. First, in the same way as described with reference to FIG. 5A, in the step of FIG. 78, a mask substrate 22 is formed on the Si wafer 21, and then, as shown in FIG. 7B, the mask Jk h 22 is formed on the Si wafer 21.
An X-ray absorber layer 23 made of Ta is formed thereon in the same manner as described above with reference to FIG. 5B.

次に、第7C図に示すようにX線吸収体層23上に、後
で述べる反応性スパッタエツチング処理に対して高い耐
性を有するポリイミドなどの高分子材料を、エツチング
マスク層27として、それ自体は公知の種々の方法で厚
さ0.8〜1.0 p、m程度に形成する。なお、この
エツチングマスク層27は後にパターンが形成され、電
子吸収体パターンとなる。
Next, as shown in FIG. 7C, on the X-ray absorber layer 23, a polymeric material such as polyimide, which has high resistance to the reactive sputter etching process described later, is etched as an etching mask layer 27. is formed to a thickness of about 0.8 to 1.0 pm using various known methods. Note that this etching mask layer 27 will later be patterned to become an electron absorber pattern.

次に、第7D図に示すように、エツチングマスク層27
上にレジスト層28を形成し、次いで、そのレジスト層
28に対して、所望の微細パターンを有する露光処理、
それに続く現像処理を施して、第7E図に示すように、
レジスト層28から、所望の微細パターンを有するレジ
ストパターン28′ を形成する。
Next, as shown in FIG. 7D, an etching mask layer 27 is formed.
A resist layer 28 is formed thereon, and then the resist layer 28 is exposed to light to form a desired fine pattern.
After the subsequent development process, as shown in FIG. 7E,
A resist pattern 28' having a desired fine pattern is formed from the resist layer 28.

次に、第7F図に示すように、レジストパターン、28
′ および露出しているエツチングマスク層27上に、
例えばチタンTiまたはクロムOrなどの金属の基若処
理を施して、レジストパターン28′の形成゛されてい
ない領域上には、金属層29aを、また、レジストパタ
ーン28′上には、金属層29bを例えば厚さ500人
に形成する。
Next, as shown in FIG. 7F, a resist pattern 28
' and on the exposed etching mask layer 27,
For example, a metal layer 29a is formed on the region where the resist pattern 28' is not formed, and a metal layer 29b is formed on the resist pattern 28' by performing a base treatment of a metal such as titanium Ti or chromium Or. For example, the thickness is 500.

次に、レジストパターン28′ と、その上に形成され
ている蓄属層28bとを、レジストパターン28′ を
工・ンチングマスク層27」−から溶去することによっ
て、すなわち、リフトオフすることによって除去して、
第7G図に示すように、TiまたはOrの微細パターン
29aを得る。
Next, the resist pattern 28' and the metal storage layer 28b formed thereon are removed by dissolving the resist pattern 28' from the etching mask layer 27'', that is, by lift-off. hand,
As shown in FIG. 7G, a fine pattern 29a of Ti or Or is obtained.

次に、第7H図に示すように、金属パターン29aをマ
スクとして、02を用いる反応性スパッタエツチング処
理によって、エツチングマスク層27から、所望の微細
パターンを有し、厚さ0.8〜1、Q p、m程度の高
分子材でなるエツチングマスクパターン27′ を形成
する。このエツチングマスクパターン27′ は耐ドラ
イエツチング性に(変れおり、重子吸収体パターンとし
て用いられる。
Next, as shown in FIG. 7H, using the metal pattern 29a as a mask, a reactive sputter etching process using 02 is performed to form a desired fine pattern from the etching mask layer 27, with a thickness of 0.8 to 1. An etching mask pattern 27' made of a polymeric material of about Qp,m is formed. This etching mask pattern 27' has dry etching resistance (in other words, it is used as a heavy particle absorber pattern).

次に、第7I図に示すように、X線吸収体層23に勾し
て、金属パターン29aおよびエツチングマスクパター
ン27′ をマスクとしてCBrF3ガスによる反応性
スパンタエツチグ処理を施して、X線吸収体層23から
、所望の微細パターンを有す・る、TaでなるX線吸収
体パターン23′ を形慮する。このパターン23′ 
は第5F図に示す工程で得られたパターンとはポジ・ネ
ガ反転したものとなる。なお、この工程では、金属パタ
ーン29aを除去してから、反応性スバッタエッナンク
処理を施してもよい。
Next, as shown in FIG. 7I, a reactive spanner etching process is performed on the X-ray absorber layer 23 using CBrF3 gas using the metal pattern 29a and the etching mask pattern 27' as a mask to form the X-ray absorber layer 23. From 23, an X-ray absorber pattern 23' made of Ta and having a desired fine pattern is considered. This pattern 23'
is a positive/negative inversion of the pattern obtained in the step shown in FIG. 5F. Note that in this step, the reactive sputter encroaching process may be performed after removing the metal pattern 29a.

最後に、第7J図に示すように、Siウェハ21に対す
るエツチング処理により、このウェハ21から、その周
囲の部分でなるSi枠21’ を形成し、かくして、所
望の微細パターンを有するX線露光用マスクを得る。
Finally, as shown in FIG. 7J, the Si wafer 21 is etched to form a Si frame 21' around the wafer 21, and thus has a desired fine pattern for X-ray exposure. Get the mask.

本発明において、中一層のTaやWなどの高融点金属に
よるX線吸収体層23を形成するためには、この吸収体
層23の内部応力を低減化する必要がある。たとえば、
SiNから成るX線マスク基板22の一ヒに、低内部応
力のTa層23を形成する方法について説明する。
In the present invention, in order to form the middle layer of the X-ray absorber layer 23 made of a high melting point metal such as Ta or W, it is necessary to reduce the internal stress of the absorber layer 23. for example,
A method of forming a low internal stress Ta layer 23 on one of the X-ray mask substrates 22 made of SiN will be described.

第8A図は本発明によるTa層を形成するためのスバ・
ンタ装置の構成例を示し、ここで、101は真空容器、
102は真空容器101の排気を制御する主バルブ、l
O3は真空容器101内の希ガスのガス正調f!i’i
用コンタクタンスIj丁変バルブである。真空容器10
1内には、Taターゲント104および試料台105を
配置する。Taターゲッl−104には高周波電源+0
6から所定の高周波電力を供給する。試料台105J−
には、絶縁板107を介して、マスク基板22の配置さ
れている試料としてのS1ウエ/\21を載置する。こ
こで、真空容器+01および試料台105は接地してわ
く。
FIG. 8A shows a substrate for forming a Ta layer according to the present invention.
An example of the configuration of a printer device is shown, where 101 is a vacuum container;
102 is a main valve that controls the exhaust of the vacuum container 101;
O3 is the normal gas f! of the rare gas in the vacuum container 101. i'i
This is a variable contactance Ij valve. Vacuum container 10
1, a Ta target 104 and a sample stage 105 are arranged. High frequency power supply +0 for Ta target l-104
6 supplies a predetermined high frequency power. Sample stand 105J-
The S1 wafer/\21 as a sample on which the mask substrate 22 is placed is placed through the insulating plate 107. Here, the vacuum container +01 and the sample stage 105 are grounded and mounted.

なお、マスク基板22目体については、本例のように電
気的に大地電位から浮動状態に保つか、あるいは後述す
るように、マスク基板22に所定のバイアス(例えは直
流バイアス屯位として一1θ〜−、ov) 3印加する
のが好適である。
The mask substrate 22 may be kept electrically floating from the ground potential as in this example, or the mask substrate 22 may be maintained at a predetermined bias (e.g., -1θ as a DC bias level), as will be described later. ~-, ov) It is preferable to apply 3.

更に、第8八図において、108はパルヲ゛】02およ
び103から排気を合流させて排出するための排急1系
、+09はJ:!、空容器101 ヘXe、Ar、Kr
などの希ガスを導入するだめの希ガス導入系である。希
カス導入系109にはカス流量制御系を配置する。ll
lは3’j’ ”/%容器101内の真空度を測定する
ための真空計である。
Furthermore, in Fig. 88, 108 is the exhaust system 1 for combining and discharging the exhaust gas from the valves 02 and 103, and +09 is J:! , Empty container 101 He Xe, Ar, Kr
This is a rare gas introduction system for introducing rare gases such as. A waste flow rate control system is arranged in the rare waste introduction system 109. ll
1 is a vacuum gauge for measuring the degree of vacuum inside the container 101 at 3'j'''/%.

Ta層形成にあたっては、まず、マスク基板22の付い
たウェハ21を絶縁板107を介して真空容器101内
の試料台105上に設置し、主バルブ102を介して排
気系108により真空容器101内の真空度を5 X 
10 Torr以」二まで排気する。
To form the Ta layer, first, the wafer 21 with the mask substrate 22 attached is placed on the sample stage 105 in the vacuum container 101 via the insulating plate 107, and the inside of the vacuum container 101 is pumped through the main valve 102 by the exhaust system 108. The degree of vacuum is 5
Evacuate to 10 Torr or higher.

次に、希ガスを導入系108に導き、ガス流量制御系!
10により規定の流量(たとえば、7〜lθcc/m1
n)だけ導入する。そのときの圧力を真空計111てモ
ニターし、所定の圧力になっていることを確;1!!f
る、次いで、主バルブ102を閉じ、可変パルフ103
で1/1000Torrの精度で設定圧力に設定する。
Next, the rare gas is introduced into the introduction system 108, and the gas flow rate control system!
10 to a specified flow rate (for example, 7 to lθcc/m1
Introduce only n). Monitor the pressure at that time using the vacuum gauge 111 and make sure that it is at the predetermined pressure; 1! ! f
Then, the main valve 102 is closed and the variable pulse 103 is closed.
Set the pressure with an accuracy of 1/1000 Torr.

この状態で、高周波市#1106を動作させてTaター
ゲット104をスパッタする。このときのマスク基板2
2の表面に発生する゛電位を一10V〜−20Vになる
ように高周波電源106の高周波電力を調整する。
In this state, the Ta target 104 is sputtered by operating the high frequency city #1106. Mask substrate 2 at this time
The high frequency power of the high frequency power supply 106 is adjusted so that the potential generated on the surface of the high frequency power source 106 is between -10V and -20V.

第9図は第8A図示の装置において、希ガスとしてXe
を用いて、希ガスの流量を7cc /m1n一定とし7
、lQ Torr台のガス圧を1/1000Torrノ
精度で設>ifし、高周波゛電力を700W投入してS
iNマスク基板22−1−にTa層23を厚さ0.5〜
0.65 p、 rnにわたって形成17た場合のXe
カス圧と内部応力との関係を示す。
FIG. 9 shows Xe as a rare gas in the apparatus shown in FIG. 8A.
With the flow rate of rare gas constant at 7cc/m1n,
, set the gas pressure on the 1/1000 Torr level with an accuracy of 1/1000 Torr, input 700 W of high frequency power, and
The Ta layer 23 is formed on the iN mask substrate 22-1- to a thickness of 0.5~
Xe when formed over 0.65 p, rn
The relationship between gas pressure and internal stress is shown.

第91y3から明らかなように、Xeカス圧の変化によ
り、Ta層23の内#?8応力は大幅に変化している。
As is clear from No. 91y3, due to the change in the Xe gas pressure, #? 8 stress has changed significantly.

Xeガス圧が0.02Torrのときには、Ta層の内
部応力は月二kI’ti応力であって4 X 10” 
dyne/cm2である。
When the Xe gas pressure is 0.02 Torr, the internal stress of the Ta layer is 2 kI'ti stress, which is 4 x 10"
dyne/cm2.

これに対して、Xeガス圧が0.04Torrのときに
は、Ta層の内部応力は引すレリ応力であって3.8 
X109dyne/cm2テある。
On the other hand, when the Xe gas pressure is 0.04 Torr, the internal stress of the Ta layer is the Leri stress of 3.8 Torr.
There is X109dyne/cm2.

このように、Xeガス圧のわずかな変化によりTa層の
内部応力は圧縮から引張りまで急激に変化しているので
、本発明ではカス流量制御系110によりXeカス圧力
を精布に設定して±l X 109dyne/cm’以
内の低応力のTa層を得ることができる。
In this way, the internal stress of the Ta layer changes rapidly from compression to tension due to a slight change in the Xe gas pressure. Therefore, in the present invention, the Xe gas pressure is set to a certain value by the waste flow rate control system 110 to A Ta layer with low stress within l x 109 dyne/cm' can be obtained.

なお、内部応力の希カス圧依存性は、用いる希カスの1
φ類によって異なる。例えば、希カスにアルゴンを用い
ると第10図に示すようにな“る。更には、内部応力の
希ガス圧依存性はスパッタ装置の設計を変えたり、高融
点金属をTaからWに代えても異なる。
Note that the dependence of the internal stress on the diluted gas pressure is
It varies depending on the φ class. For example, if argon is used as the rare gas, the result will be as shown in Figure 10.Furthermore, the dependence of the internal stress on the rare gas pressure can be improved by changing the design of the sputtering equipment or by changing the high melting point metal from Ta to W. are also different.

また、第8A図のスパッタ装置において、マスク基板2
2の表面電位が一20Vより小さな仙をとると、ガス圧
とは無関係に内部応力は圧縮応力となり、その値は大き
くなる6他カ、基板表面′単位が−IQVより大きくな
ると、ガス圧とは無関係に内部応力は引張り応力になり
、その値は大きくなった。
Further, in the sputtering apparatus shown in FIG. 8A, the mask substrate 2
When the surface potential of the substrate 2 is smaller than -IQV, the internal stress becomes compressive stress regardless of the gas pressure, and its value increases. The internal stress became tensile stress, and its value became large, regardless of the

これらのことを考慮して、第8A図示のスパッタ装置に
おいて、Xeガスを用いるときには、ガス圧を1/10
00Torrの精度で制御しテ10−″Torr台に設
定し、高周波電力とガス圧とを調節して、基板表面電位
を一10V〜−2QVの範囲に設定することによって、
低内部応力のTa1g23をマスク基板22上に付着さ
せることができた。
Taking these into consideration, when using Xe gas in the sputtering apparatus shown in Figure 8A, the gas pressure is reduced to 1/10.
By controlling with an accuracy of 0.00 Torr, setting the temperature at a level of 10-'' Torr, and adjusting the high frequency power and gas pressure, the substrate surface potential is set in the range of -10 V to -2 QV.
Ta1g23 with low internal stress could be deposited on the mask substrate 22.

あるいはまた、第8B図に示すように、試料台105」
二においてs1ウェハ?試料)21およびマスク基板2
2を保持するための基板ボルダ112に−IOV〜−2
0Vの直Mt、バイアス電圧源113を接続し、それに
より、マスク基板22に基板ボルダ112より一10V
〜−20Vの直流バイアス電圧を印加しても、低内部応
力のTa膜23を形成できた。
Alternatively, as shown in FIG. 8B, the sample stage 105'
s1 wafer in two? sample) 21 and mask substrate 2
-IOV~-2 to the substrate boulder 112 for holding 2.
By connecting the direct Mt of 0V and the bias voltage source 113, the voltage of -10V is applied to the mask substrate 22 from the substrate boulder 112.
Even when a DC bias voltage of ~-20V was applied, a Ta film 23 with low internal stress could be formed.

本発明では、このようにして、Ta層の内部応力を任意
fr望((f4に;fj制御し、−単一層のTa吸収体
用として低内部応力のTa層を実現することができるう
ここで、基板表面単位を一10V〜−20Vに制御する
ことは層形成中に一10V〜−20V程度のエネルギー
をもったイオンをTa層表面に衝突させることを意味し
、この程度のエネルギーのイオン衝撃によってTa原子
にエネルギーがH4され、そのTa原子が堆積中の表面
を自由に動けるようになって、表面移動(surfac
e migration)を促進し、以てJFt状の結
晶粒の成長、を促し、その結果、内部応力の小さいTa
層の形成を可能にする。
In the present invention, in this way, the internal stress of the Ta layer can be controlled to any desired value ((f); Therefore, controlling the substrate surface unit to -10V to -20V means that ions with an energy of about -10V to -20V collide with the Ta layer surface during layer formation, and ions with this energy The impact imparts energy H4 to the Ta atoms, allowing them to move freely on the surface being deposited, resulting in surface movement (surfac
e migration), thereby promoting the growth of JFt-shaped crystal grains, and as a result, Ta with low internal stress
Allows the formation of layers.

第11A図と第1ie図、第12A図と第12B図、お
よび113AlΔとi+3812は、それぞれTa層の
内部応力がほぼ本、圧縮および引張りのときの表面と断
面の形態のSEM (走査形電子顕微鏡)写真である。
11A and 1ie, 12A and 12B, and 113AlΔ and i+3812 are SEM (scanning electron microscopy) of the surface and cross-sectional morphology when the internal stress of the Ta layer is approximately normal, compressive, and tensile, respectively. ) is a photograph.

ここでは、内部構造を明瞭にするために表面と断面をT
aの組織観察用エツチング液(HCI:)INO3+ 
)(へSO4,= l : 1 : 2.5 )でエツ
チングしである。
Here, in order to clarify the internal structure, the surface and cross section are
Etching solution for tissue observation of a (HCI:)INO3+
) (to SO4, = l: 1: 2.5).

第11A図と第11B図が内部応力がほぼ零のときのT
a層、第12A図と第12B図が内部応力が圧−宿応力
で4 X 109dyne/cm’のときのTa層、N
%laA図と第138図が内部応力が引張り応力で3 
X 10’dyne/cm2のとき018層である。
Figures 11A and 11B show T when the internal stress is almost zero.
A layer, Figures 12A and 12B are Ta layer and N when the internal stress is 4 x 109 dyne/cm' in pressure stress
The %laA diagram and Figure 138 show that the internal stress is tensile stress and is 3.
When X 10'dyne/cm2, it is 018 layer.

第11A図および第11B図に示すように、Ta層の内
部応力がほぼ零の場合には、0.5)Lm程度の周期で
表面に凹凸が認められ、断面組織もこれに対応して比較
的大きな結晶粒になっており、しかも粒状である。
As shown in Figures 11A and 11B, when the internal stress of the Ta layer is almost zero, irregularities are observed on the surface with a period of about 0.5) Lm, and the cross-sectional structure is also compared accordingly. It has large crystal grains and is granular.

一方、Ta層に大きな圧縮または引張りの内部応力が存
在する場合には、第12A図および第12B図と$13
A図および第13B図から明らかなように、表面には0
.1 grn程度の結晶粒が認められ、断面には高融点
金属に特徴的な柱状組織が認められる。断面の柱状晶が
表面に反映されていることがこれらの写真かられかる。
On the other hand, if there is a large compressive or tensile internal stress in the Ta layer, FIGS. 12A and 12B and $13
As is clear from Figure A and Figure 13B, there is 0 on the surface.
.. Crystal grains of about 1 grn are observed, and a columnar structure characteristic of high melting point metals is observed in the cross section. These photos show that the columnar crystals in the cross section are reflected on the surface.

さらに、@14A図、第14B図および第14C図に、
RFパワー: 700W一定として、Xeガス圧を代え
たときのTa層のX線回折結果を示す。ここで、横軸は
格子の面間隔d仙(入)を示し、縦軸は規格化したX線
回折強度を示す。Xeガス圧を1.35X10 Tor
r、3.05X 10 Torrおよび4.40X l
0Torrとしたときに、Ta層の内部応力は、それぞ
れ、+1.4 X 10’ dyne/cm 2(圧縮
) 、 0.31X109dyne/cm 21:圧縮
)およびEf、I Xl09dyne/cm 2(’、
引張り)であった。
Furthermore, @14A figure, 14B figure, and 14C figure,
The X-ray diffraction results of the Ta layer are shown when the Xe gas pressure is changed and the RF power is kept constant at 700 W. Here, the horizontal axis indicates the interplanar spacing of the grating, and the vertical axis indicates the normalized X-ray diffraction intensity. Xe gas pressure to 1.35X10 Tor
r, 3.05X 10 Torr and 4.40X l
When set to 0 Torr, the internal stress of the Ta layer is +1.4 X 10' dyne/cm 2 (compression), 0.31 X 109 dyne/cm 21: compression) and Ef, I Xl 09 dyne/cm 2 (',
tension).

第14A図から明らかなように、大きな圧縮応力L:8
.4XIOすdyne/cm 2)の場合には、マスク
基板面に平行なTaの(110)面が存在している。他
方、Ta層に大きな引張り応力が存在する場合には、第
14C図から明らかなように、マスク基板面に平行なT
aの(211)面が存在している。このように、圧縮、
引張りのいずれの応力でも内部応力が大きい場合、Ta
層には強い選択方位を持った柱状晶が成長している。
As is clear from Fig. 14A, large compressive stress L: 8
.. In the case of 4XIO dyne/cm 2 ), a Ta (110) plane parallel to the mask substrate surface exists. On the other hand, when a large tensile stress exists in the Ta layer, as is clear from FIG. 14C, the T
The (211) plane of a exists. In this way, compression,
If the internal stress is large for either tensile stress, Ta
Columnar crystals with strong preferred orientation grow in the layer.

これに対して、内部応力が非常に小さい(0,31X 
1o9dyne/cm 2)場合には、第14B図に示
すように、マスク基板面に平行なTaの(21・l)面
と(110)とか混在している。すなわち、Ta層の柱
状晶の成長を抑制して、結晶粒を粒状に成長させるとい
うことは、マスク基板面に平行なTaの(21+)面と
(+ io)面とが混在するTa層を形成することを意
味する。
On the other hand, the internal stress is very small (0.31X
In the case of 109 dyne/cm 2), as shown in FIG. 14B, Ta (21·l) plane parallel to the mask substrate surface and (110) plane coexist. In other words, suppressing the growth of columnar crystals in the Ta layer and growing the crystal grains in a granular manner means that the Ta layer in which the (21+) plane and (+ io) plane of Ta coexisting parallel to the mask substrate surface is grown. It means to form.

このように、層形成時に柱状晶の成長を抑制することが
、内部応力の低減化には非常に重要であることが本発明
者の考察によりわかった。特に、基板からの成長初期段
階において柱状晶の成長を抑制することは、内部応力の
低減化に有効である。なお、逆に粒状の結晶粒の上に柱
状晶が多少成長しても支障はない。このように本発明で
は、中一層のTa吸収体を形成するために、結晶粒を粒
状に制御することによって内部応力の小さいTa層を実
現する。
As described above, the inventors have found that suppressing the growth of columnar crystals during layer formation is very important for reducing internal stress. In particular, suppressing the growth of columnar crystals at the initial stage of growth from the substrate is effective in reducing internal stress. Note that, on the contrary, there is no problem even if some columnar crystals grow on granular crystal grains. As described above, in the present invention, in order to form a medium-layer Ta absorber, a Ta layer with low internal stress is realized by controlling the crystal grains to be granular.

第15図はCBrF3ガスを用いてTa層を反応性スパ
ッタエツチングしたときのTa層中の酸素含有量とTa
層のエツチング速度との関係を示している。
Figure 15 shows the oxygen content in the Ta layer and the Ta layer when the Ta layer is reactively sputter etched using CBrF3 gas.
The relationship between the layer etching rate and the layer etching rate is shown.

ここでは、高周波電力を100W、 CBrF3カヌ圧
を0.03Torrに設定した。Taは酸化物、窒化物
、炭化物になりやすいが、第14図に示すように、Ta
層中の酸素含有酸が10atm、%まではTaのエツチ
ング特性に大きな影響を及ぼさないことかわかった。ま
た、酸素以外にもその他の不純物として、窒素や炭素を
含有する場合についてもほぼ同様のことが占える。した
がって、エツチング速度を大きくするためには、02等
の不純物濃度を約10atm、%以下にするのが好適で
ある。
Here, the high frequency power was set to 100 W, and the CBrF3 cannulation pressure was set to 0.03 Torr. Ta tends to form oxides, nitrides, and carbides, but as shown in Figure 14, Ta
It was found that up to 10 atm.% of the oxygen-containing acid in the layer did not significantly affect the etching properties of Ta. Moreover, almost the same thing applies when nitrogen and carbon are contained as impurities other than oxygen. Therefore, in order to increase the etching rate, it is preferable to set the concentration of impurities such as 02 to about 10 atm.% or less.

このように1本発明によれば、Ta層の層形成条件を制
御することによってTa層の結晶粒を粒状に制御して、
内部応力を低減化し、そして必要ならばTa層中の酸素
、窒素、炭素などの不純物の量を制御することによって
、Ta層をX線吸収体として使用することがTjr能と
なる。なお、タングステンWについても、CBrF3ガ
スを用いた反応性スバツタエ・ンチングによって形成し
たW層をTa層と同様にX線吸収体として好適に使用す
ることができる。
As described above, according to one aspect of the present invention, the crystal grains of the Ta layer are controlled to have a granular shape by controlling the layer formation conditions of the Ta layer.
By reducing the internal stress and, if necessary, controlling the amount of impurities such as oxygen, nitrogen, carbon, etc. in the Ta layer, it is possible to use the Ta layer as an X-ray absorber. As for tungsten W, a W layer formed by reactive sulfur etching using CBrF3 gas can be suitably used as an X-ray absorber in the same manner as the Ta layer.

なお、第1A図、第1B図および第1C図かられかるよ
うに、マスクコントラストを1odB以上・得るために
は、X線吸収体層の層厚を0.8 jLrtr程度以上
にすればよい。
As can be seen from FIGS. 1A, 1B, and 1C, in order to obtain a mask contrast of 1 odB or more, the thickness of the X-ray absorber layer should be about 0.8 jLrtr or more.

以上に述べたように、本発明におけるマスク形成工程で
は、層形′成後の主要工程においてすべて反応性スパッ
タエツチングを用いているので、従来のAuのイオンエ
ツチング法に比べて、エツチング選択比を大きくとれ、
マスクの後退によるパターン寸法のずれが小さく、しか
も被エンチング材が揮発性ガスの形で排気されて除去さ
れるので再付着か少ない等の点で、従来のイオンエツチ
ング法と異なり、微細パターン形成を容易に行える。
As mentioned above, in the mask forming process of the present invention, reactive sputter etching is used in all the main steps after layer formation, so the etching selectivity is lower than that of the conventional Au ion etching method. Get big,
Unlike conventional ion etching methods, this method is different from conventional ion etching methods in that there is little deviation in pattern dimensions due to mask retreat, and since the material to be etched is removed by exhausting it in the form of volatile gas, there is less chance of re-deposition. It's easy to do.

その結果、たとえば、パターン幅Q、4 p−mでTa
層・厚0.8 p−mのような高7スペクト比のサブミ
クロン吸収体パターンを寸法精度よく、しかも垂直なパ
ターン側壁を持つ形状で形成できる。
As a result, for example, Ta
A submicron absorber pattern with a high spectral ratio of 7, such as a layer/thickness of 0.8 pm, can be formed with high dimensional accuracy and in a shape with vertical pattern side walls.

ここで、比較のために同一の遠紫外露光用マスクを用い
てレジストパターンを形成してから、イオンエツチング
法で形成したAu吸収体パターンと本発明の方法で形成
したTa吸収体パターンのSEW写真を第18A図およ
び第188図に対比して示す。
For comparison, a resist pattern was formed using the same deep ultraviolet exposure mask, and then SEW photographs were taken of the Au absorber pattern formed by the ion etching method and the Ta absorber pattern formed by the method of the present invention. is shown in comparison with FIGS. 18A and 188.

Au吸収体もTa吸収体も層厚は同じ0.8 gmとし
た。このパターンはピッチ1.gmのラインアンドスペ
ースパターンであるが、Au吸収体ではAu吸収体の側
壁の傾斜角は75度程度となるので、パターンがほとん
ど解像していない。すなわち、第16A図に示す従来の
Auのイオンエツチング法は、0.5pLm以下の微細
パターンには適用できない。
The layer thickness of both the Au and Ta absorbers was the same, 0.8 gm. This pattern has a pitch of 1. gm line and space pattern, but in the case of an Au absorber, the inclination angle of the side wall of the Au absorber is about 75 degrees, so the pattern is hardly resolved. That is, the conventional Au ion etching method shown in FIG. 16A cannot be applied to fine patterns of 0.5 pLm or less.

他方、第16B図に示す本発明によるTa吸収体では、
垂直な側壁をもってサブミクロンパターンを寸法精度よ
く形成できる。
On the other hand, in the Ta absorber according to the present invention shown in FIG. 16B,
With vertical sidewalls, submicron patterns can be formed with high dimensional accuracy.

さらに、従来のイオンエツチングによるAu吸収体の場
合には、第2A図に示したように、付着力確保のために
下地層3を必要とした。従来は、このド地層にアライン
i・マークを形成していたが、下地層が非常に薄いため
層の品質にバラツキを生じ易く、しかも下地層としての
TiとAuとが反応することにより反射率が変化するの
で、マークの品質低下を招きやすく、これが自動アライ
メントを行う場合のミスアライメントの原因となる・等
の問題があった。これに対して、本発明方法によれば、
信義性確保のための下地層を必要とせず、単一のTa層
のみでよいから、アライメントマークの品質が安定して
おり、自動アライメントが容易に行える。
Furthermore, in the case of a conventional Au absorber produced by ion etching, a base layer 3 is required to ensure adhesion, as shown in FIG. 2A. Conventionally, align i marks were formed on this do layer, but since the under layer is very thin, the quality of the layer tends to vary, and the reflectance decreases due to the reaction between Ti and Au as the under layer. changes, which tends to lead to deterioration in the quality of marks, which causes misalignment when automatic alignment is performed. In contrast, according to the method of the present invention,
Since there is no need for an underlayer to ensure authenticity and only a single Ta layer is required, the quality of the alignment mark is stable and automatic alignment can be easily performed.

本発明のマスク形成工程はドライ化されており、耐薬品
性に劣るポリイミドなどの市分子マスク基板等を用いる
場合にも岩易に適用できる。
The mask forming process of the present invention is dry, and can be easily applied even when using a molecular mask substrate made of polyimide or the like, which has poor chemical resistance.

さらにまた、第6A図〜第6H図および゛第7A図〜第
7J図に示したように、窒化シリコンまたは酸化シリコ
ン/ T’a吸収体あるいは高分子/Ta吸収体との2
層構造吸収体では、Taから発生するオージェ電子や光
電子等が窒化シリコン層、酸化シリコン層または高分子
層で吸収されるので、マスクコントラストはさらに実効
的に向上するという利点がある。
Furthermore, as shown in FIGS. 6A to 6H and FIGS. 7A to 7J, a combination of silicon nitride or silicon oxide/T'a absorber or polymer/Ta absorber may be used.
In the layer structure absorber, Auger electrons, photoelectrons, etc. generated from Ta are absorbed by the silicon nitride layer, silicon oxide layer, or polymer layer, so there is an advantage that the mask contrast can be further effectively improved.

(効 果) 以北説明したところから明らかなように、本発明は次の
ような種々の効果を発揮する。
(Effects) As is clear from the above description, the present invention exhibits the following various effects.

■)内部応力の小さいTa層を用いるので、Ta層か剥
離せずにマスク基板に付着できるようになり、しかもマ
スク基板の反りや歪を無視できる。
(2) Since a Ta layer with low internal stress is used, the Ta layer can be attached to the mask substrate without peeling off, and warpage and distortion of the mask substrate can be ignored.

■)吸収体にTaを用いることにより、4fi1mパタ
ーンの加工に反応性スパッタエツチングを利用できる。
(2) By using Ta for the absorber, reactive sputter etching can be used to process a 4fil1m pattern.

反応性スパッタエツチングの場合、アンタカット、再付
着等の悪影響かないので、微細パターンを寸法精度よく
、垂直な側壁を持った形状に形成できる利点がある。
In the case of reactive sputter etching, there is no adverse effect such as uncutting or redeposition, so it has the advantage of being able to form fine patterns with high dimensional accuracy and having vertical sidewalls.

■)従来のAu吸収体では、パターンサイズはlALm
程度以上であるのに対し、本発明によるTa+’9収体
では0.2j1.Illのパターンの形成も可能である
■) In the conventional Au absorber, the pattern size is lALm
On the other hand, in the Ta+'9 compound according to the present invention, it is more than 0.2j1. It is also possible to form a pattern of Ill.

■)従来のAu吸収体と異り付着性確保のための薄いド
地層を必要としないので、アライメントマークの品質が
向上する。
(2) Unlike conventional Au absorbers, there is no need for a thin do layer to ensure adhesion, so the quality of alignment marks is improved.

V)I桿がトライ化しているので、マスク基板に耐薬品
性の弱いポリイミドなどの高分子層を使用できる。
V) Since the I rod is tri-shaped, a polymer layer such as polyimide, which has weak chemical resistance, can be used for the mask substrate.

Vl)Ta吸収体は、Au吸収体に比べ素材費が安く経
済性に優れている。
Vl) Ta absorbers have lower material costs and are more economical than Au absorbers.

【図面の簡単な説明】[Brief explanation of drawings]

第1A図、第18図および第1C図は、それぞれMo−
Lli、Si−におよびA1−に線を用いたときの吸収
体層厚とX線減衰率との関係を示すグラフ、第2A図〜
第2H図は従来のイオンエツチング法でAu吸収体を有
するX線マスクを製造する順次の工程を示す断面図、第
3図および第4図は本発明X線露光用マスクの2例を示
す断面図、第5A図〜第5F図は本発明によるX線露光
用マスクの製造方法の1実餉例における順次の製造工程
を示す断面図、第8A図〜第6H図は中間工程に酸化シ
リコン層や窒化シリコン層を用いる本発明による製造方
法の他の実施例における順次の製造工程を示す断面図、
第7A図〜第7J図はTiのリフトオフ法を用いて、パ
、ターンのポジ・ネガ反転を行う本発明製造方法の更に
他の実施例における順次の製造工程を示す断面図、第8
A図は本発明製造方法を実施して吸収体となるTa層を
形成するのに用いるスパッタ装置の一例を示す概略図、
第8B図は本発明製法の他の実施例で用いるスパッタ装
置の一例を示す線図、第9図および第1O図はTa層の
スパッタ時の希ガス圧と内部応力との関係を示すグラフ
、第11A図および第11B図は内部応力がほぼ零のと
きのTa層の表面と断面における粒子構造の形態例を示
す写真、第12A1Δおよび第12B図は内部応力が圧
縮応力の4 X 10 dyne/cm’ を示すとき
のTa層の表面と断面における粒子構造の形態例を示す
写真、第13.A図および第13B図は内部応力が引張
り応力の3×109dyne/cm2を示すときのTa
層の表面と断面における粒子構造の形態を示す写真、第
14\A図〜第14C図は格子の面間隔dと規格化され
たX線回折強度との関係を示すグラフ、第15図はCB
rF3ガスによるTa層中の酸素量とエツチング速度と
の関係を示すグラフ、第18A図および第18B図はX
線露光用マスクの吸収体バクーン例を従来例と本発明と
について比較して示す粒子構造の写真である。 ■・・・ウェハ、 2・・・マスク基板、 3・・・下地層、 4・・・X線吸収体層、 4′ ・・・Au吸収体パターン、 5・・・金属層、 6・・・フォトレジスト層、 11・・・マスク基板、 12・・・X線吸収体パターン、 13・・・Si枠、 14・・・電子吸収体層、 14’ ・・・X線す数体パターン、 15・・・X線透過層、 16・・・アルミニウム層、 21・・・S1ウエハ、 21′ ・・・Si枠、 22・・・マスク基板、 23・・・X線吸収体層、 23′ ・・・X線吸収体パターン、 24・・・レジスト、 24′ ・・・レジストパターン、 25・・・エツチングマスク層、 25′ ・・・エツチングマスクパターン、26・・・
レジスト層、 26′ ・・・レジストパターン、 27・・・エツチングマスク層、 27′ ・・・エツチングマスクパターン、28・・・
フォトレジスト層、 28′ ・・・レジストパターン、 29a、29b =・金属層、 IQ’l・・・真空容器、 +1)2.・・・主バルブ、 103・・・希カスのカス圧調節用 コンダクタンスrIf変バルブ、 104 ・=・Taクーゲット、 +05・・・試料台。 106・・・前周波電源、 107・・・絶縁板、 +08・・・排気系、 108・・・右カス導入系、 111・・・真空計、 112・・・基板ホルダ、 113・・・lI′l流バイアス電圧源。 第3図 第4図 第8A図 第8B図 105 ↑〜//J 丁 工 第9図 Xeガス圧(forr) 第用図 心 5 //) Ar刀゛ス圧(Torr) 第15図 024有f(%p
Figures 1A, 18 and 1C are Mo-
Graph showing the relationship between absorber layer thickness and X-ray attenuation rate when lines are used for Lli, Si- and A1-, Figure 2A~
FIG. 2H is a cross-sectional view showing the sequential steps of manufacturing an X-ray mask having an Au absorber by a conventional ion etching method, and FIGS. 3 and 4 are cross-sectional views showing two examples of the X-ray exposure mask of the present invention. 5A to 5F are cross-sectional views showing the sequential manufacturing steps in one example of the method for manufacturing an X-ray exposure mask according to the present invention, and FIGS. 8A to 6H are sectional views showing a silicon oxide layer in an intermediate step. Cross-sectional views showing sequential manufacturing steps in another embodiment of the manufacturing method according to the present invention using a silicon nitride layer;
7A to 7J are cross-sectional views showing the sequential manufacturing steps in still another embodiment of the manufacturing method of the present invention, in which positive/negative reversal of patterns and patterns is performed using the Ti lift-off method.
Figure A is a schematic diagram showing an example of a sputtering apparatus used to form a Ta layer that becomes an absorber by implementing the manufacturing method of the present invention;
FIG. 8B is a diagram showing an example of a sputtering apparatus used in another embodiment of the manufacturing method of the present invention, FIGS. 9 and 1O are graphs showing the relationship between rare gas pressure and internal stress during sputtering of a Ta layer, Figures 11A and 11B are photographs showing examples of grain structures on the surface and cross section of the Ta layer when the internal stress is almost zero, and Figures 12A1Δ and 12B are photographs of 4 x 10 dyne/ 13. Photographs showing an example of the morphology of the grain structure on the surface and cross section of the Ta layer in cm'. Figures A and 13B show Ta when the internal stress is 3 x 109 dyne/cm2 of tensile stress.
Photographs showing the morphology of the particle structure on the surface and cross section of the layer, Figures 14A to 14C are graphs showing the relationship between the lattice spacing d and normalized X-ray diffraction intensity, and Figure 15 is CB.
Graphs showing the relationship between the amount of oxygen in the Ta layer and the etching rate using rF3 gas, Figures 18A and 18B are
1 is a photograph showing a particle structure of an example of an absorber bag for a line exposure mask, comparing a conventional example and an example of the present invention. ■...Wafer, 2...Mask substrate, 3...Underlayer, 4...X-ray absorber layer, 4'...Au absorber pattern, 5...Metal layer, 6...・Photoresist layer, 11...Mask substrate, 12...X-ray absorber pattern, 13...Si frame, 14...Electron absorber layer, 14'...X-ray arithmetic field pattern, 15... X-ray transparent layer, 16... Aluminum layer, 21... S1 wafer, 21'... Si frame, 22... Mask substrate, 23... X-ray absorber layer, 23' ...X-ray absorber pattern, 24...Resist, 24'...Resist pattern, 25...Etching mask layer, 25'...Etching mask pattern, 26...
Resist layer, 26'...Resist pattern, 27...Etching mask layer, 27'...Etching mask pattern, 28...
Photoresist layer, 28'...Resist pattern, 29a, 29b = Metal layer, IQ'l...Vacuum container, +1)2. . . . Main valve, 103 . . . Conductance rIf variable valve for adjusting the gas pressure of rare scum, 104 .= Ta Couget, +05 . . . Sample stand. 106... Front frequency power supply, 107... Insulating plate, +08... Exhaust system, 108... Right waste introduction system, 111... Vacuum gauge, 112... Substrate holder, 113... lI 'l current bias voltage source. Fig. 3 Fig. 4 Fig. 8A Fig. 8B Fig. 105 ↑~//J Fig. 9 f(%p

Claims (1)

【特許請求の範囲】 l) マスク基板と、該マスク基板上に高融点金属の単
一層で形成され、該単一層のうち、少なくとも前記マス
ク基板側が前記高融点金属の粒状の結晶粒で形成され、
しかも所望のパターンを有するX線吸収体層とを具えた
ことを特徴とするX線露光用マスク。 2、特許請求の範囲第1項に記載のX線露光用マスクに
おいて、前記単一層の全体が前記高融点金属の粒状の結
晶粒で形成されたことを特徴とするX線露光用マスク。 3)特許請求の範囲第1項または第2項に記載のX線露
光用マ−スフにおいて、前記X線吸収体層上に電子吸収
体層を形成したことを特徴とするX線露光用マスク。 4)特許請求の範囲第1項ないし第3項のいずれかの項
に記載のX線露光用マスクにおいて、前記高融点金属は
タンタルまたはタングステンであることを特徴とするX
線露光用マスク。 5)%許請求の範囲第3項記載のX線露光用マスクにお
いて、前記電子吸収体層は酸化シリコン層、窒化シリコ
ン層または高分子層であることを特徴とするX線露光用
マスク。 6)特許請求の範囲第1項記載のX線露光用マスクにお
いて、前記マスク基板は、S iN 、S i3N*。 SiC,BN 、ポリイミド樹脂のいずれが、またはこ
れらの組合せであることを特徴とするX線露光用マスク
。 7)希ガスのガス流量とガス圧の調節機構を有するスパ
ッタ装置において、試料台上に大地電位から電気的に絶
縁された状態でX線マスク基板を具えた試料を載置する
第1工程と、前記ガス流量および前記ガス圧を所定値に
設定し、その設定条件の下で前記X線マスク基板の上に
高融点金属の層を形成する第2工451と、 前記高融点金属層に反応性スバツタエ・ンチングを施し
て所望パターンのX線吸収体層を形成する第3工程とを
含むことを特徴とするX線露光用マスクの製法。 8)特許請求の範囲第7項記載のX線露光用マスクの製
法において、前記高融点金属はタンタルまたはタングス
テンであることを特徴とするX線露光用マスクの製法。 8)特許請求の範囲第8項記載のX線露光用マスクの製
法において、前記第3工程は、タンクルまたはタングス
テンによる前記高融点金属層M層りにレジストパターン
を形成し、該レジストパターンをマスクとして、CBr
F3ガスによる反応性スパックエツチングを施して前記
所望パターンのX線吸収体層を形成する工程を含むこと
を特徴とするX線露光用マスクの製法。 10)特許請求の範囲第9項記載のX線露光用マスクの
製法において、前記第3の工程は、タンタルまたはタン
グステンによる前記高融点金属層上に酸化シリコン層ま
たは窒化シリコン層を形成し、該酸化シリコン層または
窒化シリコン層上にレジストパターンを形成し、該レジ
ストパターンをマスクとして反応性スパッタエツチング
により前記酸化シリコン層または窒化シリコン層を加工
して酸化シリコン層または窒化シリコン層のパターンを
形成し、当該パターンをマスクとして、前記CBrF3
ガスによる反応性スバッタエツナングを施して前記所望
パターンのX線吸収体層を形成する工程を含むことを特
徴とするX線露光用マスクの製法。 11)特許請求の範囲第9項記載のX線露光用マスクの
製法において、前記第3の工程は、タンタルまたはタン
グステンによる前記高融点金属層上に耐ドライエツチン
グ性に優れた高分子層を塗布し、当該高分子層上にレジ
ストパターンを形成し、該レジストパターンEにチタン
またはクロムを蒸着し、さらにリフトオフすることによ
りチタンまたはクロムパターンを形成し、当該チタンま
たはクロムパターンをマスクにして酸素ガスによる反応
性ヌパッタエッチングで高分子パターンを形成し1次い
で、前記高分子パターンをマスクとして、前記CBrF
3ガスによる反応性スパッタエツチングて前記所望パタ
ーンのX線吸収体を形成することを特徴とするX線露光
用マスクの製法。 12、特許請求の範囲第7項ないし第11項のいずれか
の項に記載のX線露光用マスクの製法において、前記希
ガスはクセノン、アルゴンまたはクリプトンの1/りず
れかであることを特徴とするX線露光用マスクの製法。 13)特許請求の範囲第7項ないし第12項のいずれか
の項に記載のx!i露光用マスクの製法において、前記
第2工程で得られる前記高融点ごとを特徴とするX線露
光用マスクの製法。 14)特許請求の範囲第13項記載のX線露光用マスク
の製法において、前記高融点金属層のうち、少なくとも
、前記X II fスフ基板の側が粒状の結晶粒である
ことを特徴とするX線露光用マスクの製法。 15)特許請求の範囲第7項ないし第14項のいず ゛
れかの項に記載のX線露光用マスクの製法において、前
記第1工程において、前記試料を大地電位に対して浮動
状態とすることを特徴とするX線露光用マスクの製法。 113)特許請求の範囲第15項記載のX線露光用マス
クの製法において、前記第2の二[程において、前記試
料の表面が−tOV〜−20Vの浮動電位になるように
、前記ガス圧と前記スパッタ装置に供給する高周波電力
とを調整することを特徴とするX線露光用マスクの製法
。 17)特許請求の範囲第7項ないし第14項のいずれか
の項に記載のX線露光用マスクの製法において、前記第
1工程において、前記試料に−10V〜−20Vの直流
バイアス電位を印加することを特徴とするX線露光用マ
スクの製法。
[Scope of Claims] l) A mask substrate, and a single layer of a high-melting point metal formed on the mask substrate, and of the single layer, at least the mask substrate side is formed of granular crystal grains of the high-melting point metal. ,
Moreover, an X-ray exposure mask characterized by comprising an X-ray absorber layer having a desired pattern. 2. The X-ray exposure mask according to claim 1, wherein the entire single layer is formed of granular crystal grains of the high melting point metal. 3) An X-ray exposure mask according to claim 1 or 2, characterized in that an electron absorber layer is formed on the X-ray absorber layer. . 4) In the X-ray exposure mask according to any one of claims 1 to 3, the high melting point metal is tantalum or tungsten.
Mask for line exposure. 5) % Allowance The mask for X-ray exposure according to claim 3, wherein the electron absorber layer is a silicon oxide layer, a silicon nitride layer, or a polymer layer. 6) In the X-ray exposure mask according to claim 1, the mask substrate is S iN or S i3N*. An X-ray exposure mask characterized by being made of SiC, BN, polyimide resin, or a combination thereof. 7) In a sputtering apparatus having a mechanism for adjusting the gas flow rate and gas pressure of a rare gas, a first step of placing a sample equipped with an X-ray mask substrate on a sample stage in a state electrically insulated from the ground potential; , a second process 451 for setting the gas flow rate and the gas pressure to predetermined values and forming a layer of high melting point metal on the X-ray mask substrate under the set conditions; and reacting to the high melting point metal layer. 1. A method for manufacturing an X-ray exposure mask, the method comprising: a third step of forming an X-ray absorber layer with a desired pattern by subjecting the layer to an X-ray absorber layer. 8) A method for manufacturing an X-ray exposure mask according to claim 7, wherein the high melting point metal is tantalum or tungsten. 8) In the method for manufacturing an X-ray exposure mask according to claim 8, in the third step, a resist pattern is formed on the high melting point metal layer M made of tankle or tungsten, and the resist pattern is masked. As, CBr
A method for manufacturing an X-ray exposure mask, comprising the step of forming an X-ray absorber layer having the desired pattern by performing reactive spuck etching using F3 gas. 10) In the method for manufacturing an X-ray exposure mask according to claim 9, the third step includes forming a silicon oxide layer or a silicon nitride layer on the high melting point metal layer of tantalum or tungsten; A resist pattern is formed on the silicon oxide layer or silicon nitride layer, and the silicon oxide layer or silicon nitride layer is processed by reactive sputter etching using the resist pattern as a mask to form a pattern of the silicon oxide layer or silicon nitride layer. , using the pattern as a mask, the CBrF3
A method for manufacturing an X-ray exposure mask, comprising the step of performing reactive sputter etching with a gas to form the X-ray absorber layer in the desired pattern. 11) In the method for manufacturing an X-ray exposure mask according to claim 9, the third step includes coating a polymer layer with excellent dry etching resistance on the high melting point metal layer made of tantalum or tungsten. Then, a resist pattern is formed on the polymer layer, titanium or chromium is vapor-deposited on the resist pattern E, and a titanium or chromium pattern is formed by lift-off, and oxygen gas is applied using the titanium or chromium pattern as a mask. A polymer pattern is formed by reactive nupatta etching using CBrF.
A method for manufacturing an X-ray exposure mask, characterized in that the X-ray absorber having the desired pattern is formed by reactive sputter etching using three gases. 12. The method for manufacturing an X-ray exposure mask according to any one of claims 7 to 11, characterized in that the rare gas is one of xenon, argon, or krypton. A method for manufacturing an X-ray exposure mask. 13) x! according to any one of claims 7 to 12! i. A method for manufacturing an X-ray exposure mask characterized by each of the high melting points obtained in the second step. 14) In the method for manufacturing an X-ray exposure mask according to claim 13, at least a side of the high melting point metal layer on the side of the X II f substrate has granular crystal grains. Manufacturing method for line exposure masks. 15) In the method for manufacturing an X-ray exposure mask according to any one of claims 7 to 14, in the first step, the sample is kept in a floating state with respect to ground potential. A method for manufacturing an X-ray exposure mask characterized by: 113) In the method for manufacturing an X-ray exposure mask according to claim 15, in the second step, the gas pressure is adjusted such that the surface of the sample has a floating potential of -tOV to -20V. A method for manufacturing an X-ray exposure mask, the method comprising adjusting the high-frequency power supplied to the sputtering device. 17) In the method for manufacturing an X-ray exposure mask according to any one of claims 7 to 14, in the first step, a DC bias potential of -10V to -20V is applied to the sample. A method for manufacturing an X-ray exposure mask characterized by:
JP58112916A 1982-07-17 1983-06-24 Mask for x-ray exposure and manufacture thereof Granted JPS605519A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP58112916A JPS605519A (en) 1983-06-24 1983-06-24 Mask for x-ray exposure and manufacture thereof
US06/513,954 US4515876A (en) 1982-07-17 1983-07-15 X-Ray lithography mask and method for fabricating the same
DE19833325832 DE3325832A1 (en) 1982-07-17 1983-07-18 X-RAY LITHOGRAPH MASK AND METHOD FOR PRODUCING THE SAME
FR8311817A FR2542882B1 (en) 1982-07-17 1983-07-18 X-RAY LITHOGRAPHY MASK AND MANUFACTURING METHOD THEREOF

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58112916A JPS605519A (en) 1983-06-24 1983-06-24 Mask for x-ray exposure and manufacture thereof

Publications (2)

Publication Number Publication Date
JPS605519A true JPS605519A (en) 1985-01-12
JPH0458171B2 JPH0458171B2 (en) 1992-09-16

Family

ID=14598694

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58112916A Granted JPS605519A (en) 1982-07-17 1983-06-24 Mask for x-ray exposure and manufacture thereof

Country Status (1)

Country Link
JP (1) JPS605519A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62140421A (en) * 1985-12-14 1987-06-24 Nippon Telegr & Teleph Corp <Ntt> Mask for x-ray exposure and manufacture thereof
JPH01150324A (en) * 1987-12-07 1989-06-13 Dainippon Printing Co Ltd Manufacture of mask for x-ray lithography
JPH03110821A (en) * 1989-09-26 1991-05-10 Toppan Printing Co Ltd X-ray exposure mask

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5337704A (en) * 1976-09-20 1978-04-07 Kobe Steel Ltd Conversion of coal
JPS57198461A (en) * 1981-05-18 1982-12-06 Philips Nv Radiant lithographic mask and manufacture thereof
JPS57208138A (en) * 1981-06-18 1982-12-21 Toshiba Corp Manufacture of mask for x-ray exposure
JPS5858545A (en) * 1981-10-02 1983-04-07 Nippon Telegr & Teleph Corp <Ntt> X-ray exposure mask and its manufacture

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5337704A (en) * 1976-09-20 1978-04-07 Kobe Steel Ltd Conversion of coal
JPS57198461A (en) * 1981-05-18 1982-12-06 Philips Nv Radiant lithographic mask and manufacture thereof
JPS57208138A (en) * 1981-06-18 1982-12-21 Toshiba Corp Manufacture of mask for x-ray exposure
JPS5858545A (en) * 1981-10-02 1983-04-07 Nippon Telegr & Teleph Corp <Ntt> X-ray exposure mask and its manufacture

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62140421A (en) * 1985-12-14 1987-06-24 Nippon Telegr & Teleph Corp <Ntt> Mask for x-ray exposure and manufacture thereof
JPH01150324A (en) * 1987-12-07 1989-06-13 Dainippon Printing Co Ltd Manufacture of mask for x-ray lithography
JP2543546B2 (en) * 1987-12-07 1996-10-16 大日本印刷株式会社 Method of manufacturing mask for X-ray exposure
JPH03110821A (en) * 1989-09-26 1991-05-10 Toppan Printing Co Ltd X-ray exposure mask
JPH0666253B2 (en) * 1989-09-26 1994-08-24 凸版印刷株式会社 X-ray exposure mask

Also Published As

Publication number Publication date
JPH0458171B2 (en) 1992-09-16

Similar Documents

Publication Publication Date Title
Yabumoto et al. Surface damage on Si substrates caused by reactive sputter etching
US5066615A (en) Photolithographic processes using thin coatings of refractory metal silicon nitrides as antireflection layers
US4515876A (en) X-Ray lithography mask and method for fabricating the same
JP2823276B2 (en) Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
KR100249935B1 (en) Method of Manufacturing Metallic Thin Film, and Method of Surface Acoustic Wave Device Using the Metallic Thin Film
US8563076B2 (en) Substrate structure and method of forming the same
US20060186496A1 (en) System and method for processing a wafer including stop-on-alumina processing
EP1926158A1 (en) Method and apparatus for manufacturing magnetoresistive device
Stanishevsky et al. Radiation damage and its recovery in focused ion beam fabricated ferroelectric capacitors
WO2020180977A1 (en) Systems, devices, and methods for resistance metrology using graphene with superconducting components
JPS605519A (en) Mask for x-ray exposure and manufacture thereof
US3791952A (en) Method for neutralizing charge in semiconductor bodies and dielectric coatings induced by cathodic etching
JP3077393B2 (en) X-ray exposure mask
US5567551A (en) Method for preparation of mask for ion beam lithography
JP3391699B2 (en) X-ray mask manufacturing method
Kamm et al. Superconducting nanobridges prepared by a self-limiting wet chemical etching process
EP4195303B1 (en) Nonlinear element device manufactured by using single layer evaporation
JP2883354B2 (en) X-ray mask material and X-ray mask
JPH03173116A (en) X-ray mask and manufacture thereof
JPH04229626A (en) Semiconductor device and its manufacture
Kola et al. Roughness Effects During Focused Ion Beam Repair of X-Ray Masks with Polycrystalline Tungsten Absorbers
Triplett et al. X-ray lithography: Fabrication of masks and very large scale integrated (VLSI) devices
JP3411413B2 (en) X-ray mask manufacturing method
Yin et al. Oxygen Pressure Dependence of Morphology of Morphology of La 2-x Sr x CuO 4 Ultra-Thin Films
Sleight et al. Controlled III–V semiconductor cluster nucleation and epitaxial growth via electron‐beam lithography