JP2024508261A - 複数の半導体プロセスモジュール又はチャンバをサポートするためのモジュール式メインフレームレイアウト - Google Patents

複数の半導体プロセスモジュール又はチャンバをサポートするためのモジュール式メインフレームレイアウト Download PDF

Info

Publication number
JP2024508261A
JP2024508261A JP2023549037A JP2023549037A JP2024508261A JP 2024508261 A JP2024508261 A JP 2024508261A JP 2023549037 A JP2023549037 A JP 2023549037A JP 2023549037 A JP2023549037 A JP 2023549037A JP 2024508261 A JP2024508261 A JP 2024508261A
Authority
JP
Japan
Prior art keywords
chamber
substrate
type
chiplets
efem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023549037A
Other languages
English (en)
Inventor
ランディー エー. ハリス,
コビー スコット グローヴ,
ポール ザカリー ワース,
アビナッシュ シャンタラム,
アルパイ イルマズ,
アミール ニッサン,
ジーテンドラ ラティラル ビムジヤニ,
ニランジャン ピングル,
ヴィンセント ディカプリオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/177,882 external-priority patent/US11935770B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024508261A publication Critical patent/JP2024508261A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/75Apparatus for connecting with bump connectors or layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/751Means for controlling the bonding environment, e.g. valves, vacuum pumps
    • H01L2224/75101Chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7598Apparatus for connecting with bump connectors or layer connectors specially adapted for batch processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95053Bonding environment

Abstract

チップレットを基板に接着するための方法及び装置が、本明細書で提供される。幾つかの実施形態では、基板を処理するためのマルチチャンバ処理ツールが、以下のものを含む。すなわち、1以上の種類の基板を受け取るための1以上のロードポートを有する機器フロントエンドモジュール(EFEM)、及び、互いに結合され、EFEMに結合された第1の自動化モジュールを有する複数の自動化モジュールである。当該複数の自動化モジュールの各々は、移送チャンバ、及び移送チャンバに結合された1以上のプロセスチャンバを含む。当該移送チャンバは、複数の1以上の種類の基板を保持するように構成されたバッファーを含む。当該移送チャンバは、バッファーと、1以上のプロセスチャンバと、複数の自動化モジュールのうちの隣接する自動化モジュール内に配置されたバッファーとの間で、1以上の種類の基板を移送するように構成された移送ロボットを含む。【選択図】図1

Description

[0001] 本開示の実施形態は、広くは、基板処理設備に関する。
[0002] 基板は、半導体集積回路デバイスの製造中に様々なプロセスを受ける。これらのプロセスのうちの幾つかには、ウエハダイシングが含まれる。ウエハダイシングでは、処理済みウエハをダイシングテープ上に置いて、複数のダイ又はチップレットに切断又は分離する。ウエハがダイシングされると、チップレットは、典型的には、取り外されて基板に接着されるまで、ダイシングテープ上に留まる。基板を洗浄し、ダイシングし、及びチップレットを基板に接着するための従来の処理ツールには、一般的に、メインフレーム内に収容された複数のツール又は単一のリニアロボットが含まれる。幾つかのチャンバ又はプロセスモジュールは、メインフレームに結合されてよく、一般的に、メインフレーム及び単一のリニアロボットの長さを決定してよい。しかし、メインフレーム内に収容された単一のリニアロボットを備えるツールは、拡張性や処理スループットに限界がある。
[0003] したがって、発明者らは、基板を処理するための改良されたマルチチャンバ処理ツールを提供した。
[0004] 本明細書における基板を処理するための方法及び装置
幾つかの実施形態では、基板を処理するためのマルチチャンバ処理ツールが、以下のものを含む。すなわち、1以上の種類の基板を受け取るための1以上のロードポートを有する機器フロントエンドモジュール(EFEM)、及び、互いに結合され、EFEMに結合された第1の自動化モジュールを有する複数の自動化モジュールである。当該複数の自動化モジュールの各々は、移送チャンバ、及び移送チャンバに結合された1以上のプロセスチャンバを含む。当該移送チャンバは、複数の1以上の種類の基板を保持するように構成されたバッファーを含む。当該移送チャンバは、バッファーと、1以上のプロセスチャンバと、複数の自動化モジュールのうちの隣接する自動化モジュール内に配置されたバッファーとの間で、1以上の種類の基板を移送するように構成された移送ロボットを含む。
[0005] 幾つかの実施形態では、基板を処理するためのマルチチャンバ処理ツールが、第1の種類の基板を受け取るための1以上の第1のロードポート、複数のチップレットを有する第2の種類の基板を受け取るための1以上の第2のロードポート、及び第1の種類の基板と第2の種類の基板を移送するように構成された機器フロントエンドモジュール(EFEM)ロボットを有するEFEM、並びに、互いに結合され、EFEMに結合された第1の自動化モジュールを有する複数の自動化モジュールを含む。当該複数の自動化モジュールの各々は、移送チャンバ、及び移送チャンバに結合された湿式洗浄チャンバ、プラズマチャンバ、ガス抜きチャンバ、放射チャンバ、又はボンダーチャンバのうちの少なくとも1つを備える。当該移送チャンバは、第1の種類の基板のうちの1以上と第2の種類の基板のうちの1以上を保持するように構成されたバッファーを含む。当該移送チャンバは、第1の種類の基板と第2の種類の基板を、バッファーと、1以上のプロセスチャンバと、複数の自動化モジュールのうちの隣接する自動化モジュール内に配置されたバッファーとの間で、移送するように構成された移送チャンバロボットを含む。ここで、複数の自動化モジュールのうちの第1の自動化モジュールの1以上のプロセスチャンバは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、湿式洗浄チャンバを含み、第1の自動化モジュールに結合された複数の自動化モジュールのうちの第2の自動化モジュールは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、第2の自動化モジュールに結合された複数の自動化モジュールのうちの第3の自動化モジュールは、第2の種類の基板から複数のチップレットを取り外し、複数のチップレットを第1の種類の基板の上に接着するように構成された1以上のボンダーチャンバを含む。
[0006] 幾つかの実施形態では、複数のチップレットを基板の上に接着する方法が、第1の種類の基板を複数の自動化モジュールを有するマルチチャンバ処理ツールの機器フロントエンドモジュール(EFEM)の第1のロードポートの上に装填すること、
第1の種類の基板を、EFEMに結合された第1の自動化モジュール内に配置された第1のバッファーへ移送するために、EFEMロボットを使用すること、
第1の種類の基板を、洗浄プロセスを実行するために第1のバッファーから第1の湿式洗浄チャンバに移送し、次に第1の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第1のガス抜きチャンバに移送し、次に望ましくない材料を第1の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第1のプラズマチャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
複数のチップレットを有する第2の種類の基板を、第1のバッファーへ移送するために、EFEMロボットを使用すること、
第2の種類の基板を、洗浄プロセスを実行するために第1のバッファーから第2の湿式洗浄チャンバに移送し、次に第2の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第2のガス抜きチャンバに移送し、次に望ましくない材料を第2の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第2のプラズマチャンバに移送し、次に複数のチップレットと第2の種類の基板との間の接着を弱めるように放射プロセスを実行するために放射チャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかを第2の種類の基板から第1の種類の基板に移送すること、並びに
ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかを第1の種類の基板に接着することを含む。
[0007] 本明細書におけるチップレットを基板に接着するための方法及び装置
幾つかの実施形態では、基板を処理するためのマルチチャンバ処理ツールが、1以上の種類の基板を受け取るための1以上のロードポートを有する第1の機器フロントエンドモジュール(EFEM)、マルチチャンバ処理ツールの第1のEFEMとは反対側の1以上の種類の基板を受け取るための1以上のロードボートを有する第2のEFEM、並びに、互いに結合され、第1のEFEMに結合された第1の大気モジュール式メインフレーム(AMM)、及び第2のEFEMに結合された最後のAMMを有する複数の大気モジュール式(AMMs)を含む。その場合、複数のAMMsの各々は、移送チャンバ、及び移送チャンバに結合された1以上のプロセスチャンバを含む。当該移送チャンバは、複数の1以上の種類の基板を保持するように構成されたバッファーを含む。当該移送チャンバは、バッファーと、1以上のプロセスチャンバと、複数のAMMsのうちの隣接するAMM内に配置されたバッファーとの間で、1以上の種類の基板を移送するように構成された移送ロボットを含む。
[0008] 幾つかの実施形態では、基板を処理するためのマルチチャンバ処理ツールが、
第1の種類の基板を受け取るための1以上の第1のロードポートと、複数のチップレットを有する第2の種類の基板を受け取るための1以上の第2のロードポートと、及び第1の種類の基板と第2の種類の基板を移送するように構成された機器フロントエンドモジュール(EFEM)ロボットとを有する第1の機器フロントエンドモジュール(EFEM)と、第1の種類の基板を受け取るための1以上の第1のロードポートと、複数のチップレットを有する第2の種類の基板を受け取るための1以上の第2のロードポートと、及び第1の種類の基板と第2の種類の基板を移送するように構成されたEFEMロボットとを有する第2のEFEMと、並びに
互いに結合され、第1のEFEMに結合されたAMM及び第2のEFEMに結合された最後のAMMを有する複数のAMMsとを含む。当該複数のAMMsの各々は、移送チャンバ、及び移送チャンバに結合された湿式洗浄チャンバ、プラズマチャンバ、ガス抜きチャンバ、放射チャンバ、又はボンダーチャンバのうちの少なくとも1つを含む1以上のプロセスチャンバを含む。当該移送チャンバは、第1の種類の基板のうちの1以上及び第2の種類の基板のうちの1以上を保持するように構成されたバッファーを含む。当該移送チャンバは、第1の種類の基板と第2の種類の基板を、バッファーと、1以上のプロセスチャンバと、複数のAMMsのうちの隣接するAMM内に配置されたバッファーとの間で、移送するように構成された移送ロボットを含み、複数のAMMsのうちの第1のAMMの1以上のプロセスチャンバは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、湿式洗浄チャンバを含み、第1のAMMに結合された複数のAMMsのうちの第2のAMMは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、第2のAMMに結合された複数のAMMsのうちの第3のAMMは、第2の種類の基板から複数のチップレットを取り外し、複数のチップレットを第1の種類の基板の上に接着するように構成された1以上のボンダーチャンバを含む。
[0009] 幾つかの実施形態では、複数のチップレットを基板の上に接着する方法が、
第1の種類の基板を複数のAMMsを有するマルチチャンバ処理ツールの機器フロントエンドモジュール(EFEM)の第1のロードポートの上に装填すること、
第1の種類の基板を、EFEMに結合された第1の自動化モジュール内に配置された第1のバッファーへ移送するために、EFEMロボットを使用すること、
第1の種類の基板を、洗浄プロセスを実行するために第1のバッファーから第1の湿式洗浄チャンバに移送し、次に第1の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第1のガス抜きチャンバに移送し、次に望ましくない材料を第1の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第1のプラズマチャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
複数のチップレットを有する第2の種類の基板を、第1のバッファーへ移送するために、EFEMロボットを使用すること、
第2の種類の基板を、洗浄プロセスを実行するために第1のバッファーから第2の湿式洗浄チャンバに移送し、次に第2の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第2のガス抜きチャンバに移送し、次に望ましくない材料を第2の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第2のプラズマチャンバに移送し、次に複数のチップレットと第2の種類の基板との間の接着を弱めるように放射プロセスを実行するために放射チャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかを第2の種類の基板から第1の種類の基板に移送すること、
ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかを第1の種類の基板に接着すること、並びに
接着された複数のチップレットを有する第1の種類の基板を、最後のAMMからマルチチャンバ処理ツールの第2のEFEMのロードポートに装填することを含む。
[0010] 本開示の他の及び更なる実施形態が、以下で説明される。
[0011] 上記で簡潔に要約され、以下でより詳細に説明される本開示の実施形態は、添付の図面に示す本開示の例示的な実施形態を参照することにより、理解することができる。しかし、本開示は他の等しく有効な実施形態を許容し得ることから、付随する図面は、本開示の典型的な実施形態のみを示しており、したがって、範囲を限定するものと見なすべきではない。
[0012] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0013] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0014] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0015] 本開示の少なくとも幾つかの実施形態による、T字形状構成に配置された、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0016] 本開示の少なくとも幾つかの実施形態による、U字形状構成に配置された、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0017] 本開示の少なくとも幾つかの実施形態による、第2の種類の基板を示す。 [0018] 本開示の少なくとも幾つかの実施形態による、単純化された自動化モジュール又は大気モジュール式メインフレームの等角図を示す。 [0019] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着する方法のフローチャートを示す。 [0020] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。 [0021] 本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を示す。
[0022] 理解し易くするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。図は縮尺どおりではなく、分かりやすくするために簡略化されていることがある。一実施形態の要素及び特徴は、更なる記述がなくとも、その他の実施形態に有益に組み込まれてよい。
[0023] 基板を処理するための方法及び装置の実施形態が、本明細書で提供される。該装置は、概して、モジュール式のマルチチャンバ処理ツールであって、基板をマルチチャンバ処理ツールに出し入れするための1以上の機器フロントエンドモジュール(EFEM)を含むマルチチャンバ処理ツールを備える。マルチチャンバ処理ツールは、複数の大気モジュール式メインフレーム(AMM)とも呼ばれる複数の自動化モジュールに結合され、基板に対して1以上の処理ステップを実行するように構成されている。1以上の処理ステップは、集積回路を製造又はパッケージングすることにおける任意の適切なステップであってよい。例えば、1以上の処理ステップは、以下のことのうちの1以上を実行するように構成されてよい。すなわち、複数のチップレットを基板の上に接着するためのボンディングプロセス、プラズマダイシング又は個片化プロセス、基板洗浄プロセス、基板めっき又はコーティングプロセスなどである。複数のAMMsは、概して、EFEMと相互作用して、基板をAMMsの各々に関連付けられた1以上のプロセスチャンバに引き渡すことができる。
[0024] 複数のAMMsの各々は、移送ロボットを含み、移送ロボットが並行して働き、複数の基板の処理を同時に促進することによって、処理スループットを有利に向上させることを可能にする。例えば、複数のチップレットを基板の上に接着する例示的なプロセスでは、マルチチャンバ処理ツールが、有利なことに、種々のサイズを有する複数のチップレットを基板の上に接着することを可能にし、マルチチャンバ処理ツール内で複数のチップレットを基板上に複数の層で接着することを可能にする。
[0025] 図1は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツール100の概略上面図を示している。マルチチャンバプロセスツール100は、概して、機器フロントエンドモジュール(EFEM)102、及びEFEM102と直列に結合された複数のAMMs110を含む。複数のAMMs110は、1以上の種類の基板112をEFEM102からマルチチャンバ処理ツール100を通してシャトルし、1以上の種類の基板112に1以上の処理ステップを実行するように構成されている。複数のAMMs110の各々は、概して、移送チャンバ116、及び1以上の処理ステップを実行するために移送チャンバ116に結合された1以上のプロセスチャンバ106を含む。複数のAMMs110は、有利なことに、マルチチャンバ処理ツール100のモジュール式の拡張性及びカスタマイズ性を提供するために、それらのそれぞれの移送チャンバ116を介して互いに結合されている。図1で示されているように、複数のAMMs110は3つのAMMsを含む。その場合、第1のAMM110aはEFEM102に結合され、第2のAMM110bは第1のAMM110aに結合され、第3のAMM110cは第2のAMM110bに結合されている。
[0026] EFEM102は、1以上の種類の基板112を受け取るための複数のロードポート114を含む。幾つかの実施形態では、1以上の種類の基板112が、200mmのウエハ、300mmのウエハ、450mmのウエハ、テープフレーム基板、キャリア基板、シリコン基板、ガラス基板などを含む。幾つかの実施形態では、複数のロードポート114が、第1の種類の基板112aを受け取るための1以上の第1のロードポート114a、又は第2の種類の基板112bを受け取るための1以上の第2のロードポート114bのうちの少なくとも一方を含む。幾つかの実施形態では、第1の種類の基板112aが、第2の種類の基板112bとは異なるサイズを有する。幾つかの実施形態では、第2の種類の基板112bが、テープフレーム基板又はキャリア基板を含む。幾つかの実施形態では、第2の種類の基板112bが、テープフレーム又はキャリアプレート上に配置された複数のチップレットを含む。幾つかの実施形態では、第2の種類の基板112bが、種々の種類及びサイズのチップレットを保持してよい。したがって、1以上の第2のロードポート114bは、種々のサイズを有してよく、又は種々のサイズを有する第2の種類の基板112bを装填するように構成された受容面を有してよい。
[0027] 幾つかの実施形態では、複数のロードポート114が、EFEM102の共通の側面に沿って配置されている。図1は、一対の第1のロードポート114aと一対の第2のロードポート114bを示しているが、EFEM102は、1つの第1のロードポート114aと3つの第2のロードポート114bなどの、他の組み合わせのロードポートを含んでよい。
[0028] 幾つかの実施形態では、EFEM102が、情報を識別するために1以上の種類の基板112をスキャンするための基板IDリーダーを有するスキャンステーション108を含む。幾つかの実施形態では、基板IDリーダーが、バーコードリーダー又は光学式文字認識(OCR)リーダーを含む。マルチチャンバ処理ツール100は、スキャンされた1以上の種類の基板112からの任意の識別情報を使用して、その識別情報に基づいてプロセスステップを決定するように構成され、例えば、第1の種類の基板112aと第2の種類の基板112bとに異なるプロセスステップを決定する。幾つかの実施形態では、スキャンステーション108がまた、第1の種類の基板112a又は第2の種類の基板112bを位置合わせするために、回転移動するようにも構成されてよい。幾つかの実施形態では、複数のAMMs110のうちの1以上が、スキャンステーション108を含む。
[0029] EFEMロボット104が、EFEM102内に配置され、複数のロードポート114とスキャンステーション108との間で、第1の種類の基板112aと第2の種類の基板112bを移送するように構成されている。EFEMロボット104は、第1の種類の基板112aを取り扱うための基板エンドエフェクタと、第2の種類の基板112bを取り扱うための第2のエンドエフェクタとを含んでよい。EFEMロボット104は、回転してよく、又は回転しながら直線的に移動してもよい。
[0030] 図6は、本開示の少なくとも幾つかの実施形態による、第2の種類の基板112bを示している。幾つかの実施形態では、第2の種類の基板112bが、概して、テープフレーム604によって取り囲まれた支持テープ602の層を含む、テープフレーム基板である。使用時に、複数のチップレット606は、支持テープ302に取り付けられ得る。複数のチップレット606は、概して、半導体ウエハ610を複数のチップレット606又はダイにダイシングする個片化プロセスを介して形成される。幾つかの実施形態では、テープフレーム604が、ステンレス鋼などの金属で作製される。テープフレーム604は、位置合わせ及び取り扱いを容易にするために、1以上のノッチ608を有してよい。300mmの直径を有する半導体ウエハ610では、テープフレーム604が、約340mmから約420mmの幅、及び約340mmから約420mmの長さを有してよい。第2の種類の基板112bは、代替的に、キャリアプレートに結合された複数のチップレット606を有するように構成されたキャリアプレートであってよい。
[0031] 図1に戻って参照すると、1以上のプロセスチャンバ106は、移送チャンバ116と密封可能に係合されてよい。移送チャンバ116は、概して、大気圧で動作するが、減圧で動作するように構成されてもよい。例えば、移送チャンバ116は、約700Torr以上の大気圧で動作するように構成された非減圧チャンバであってよい。更に、1以上のプロセスチャンバ106は、概して、移送チャンバ116と直交するように描かれているが、1以上のプロセスチャンバ106は、移送チャンバ116に対してある角度で配置されてよく、又は直交とある角度との組み合わせで配置されてよい。例えば、第2のAMM110bは、移送チャンバ116に対してある角度で配置された一対の1以上のプロセスチャンバ106を描いている。
[0032] 移送チャンバ116は、1以上の第1の種類の基板112aを保持するように構成されたバッファー120を含む。幾つかの実施形態では、バッファー120が、第1の種類の基板112aのうちの1以上と、第2の種類の基板112bのうちの1以上とを保持するように構成されている。移送チャンバ116は、第1の種類の基板112aと第2の種類の基板112bを、バッファー120と、1以上のプロセスチャンバ106と、複数のAMMs110のうちの隣接するAMM内に配置されたバッファーと、の間で移送するように構成された移送ロボット126を含む。例えば、第1のAMM110a内の移送ロボット126は、第1の種類の基板112aと第2の種類の基板112bを、第1のAMM110aと第2のAMM110b内のバッファー120との間で移送するように構成されている。幾つかの実施形態では、バッファー120が、移送チャンバ116の内部空間内に配置され、ツール全体の設置面積を有利に低減させる。加えて、バッファー120は、移送ロボット126によるアクセスの容易さのために、移送チャンバ116の内部空間に開放され得る。幾つかの実施形態では、バッファー120がまた、第2の種類の基板112bに対して放射プロセスを実行するようにも構成されてよい。
[0033] 図7は、本開示の少なくとも幾つかの実施形態による、複数のAMMs110の移送チャンバ116の等角図を示している。移送チャンバ116は、主要な構成要素を説明するために単純化された形態で示されている。移送チャンバ116は、概して、移送チャンバ116を閉じるために、プレート(図7で示されている上部プレート712、図示されていない側部プレート)でカバーされたフレーム710を含む。幾つかの実施形態では、移送チャンバ116が、長さよりも短い幅を有する。上部プレート712(又は側部プレート)は、移送チャンバ116にサービスするために選択的に開閉されるアクセス開口部716を含んでよい。側部プレートは、1以上のプロセスチャンバ106、EFEM102、又は隣接する移送チャンバのうちの少なくとも1つとのインターフェースにおいて開口部を含む。図7は、矩形状又は箱形状を有する移送チャンバ116を示しているが、移送チャンバ116は、円筒形状や多角形状などの任意の他の適切な形状を有してよい。1以上のプロセスチャンバ106は、移送チャンバ116に直交して結合されてよく、又は移送チャンバ116に対してある角度で結合されてもよい。
[0034] 移送チャンバ116は、1以上の環境制御を有してよい。例えば、移送チャンバ116内の開口部(たとえば、アクセス開口部716)は、移送チャンバ116に入る気流を濾過するフィルタを含んでよい。その他の環境制御には、湿度制御、静電気制御、温度制御、又は圧力制御のうちの1以上が含まれてよい。
[0035] 移送ロボット126は、概して、フレーム710内に収容されている。移送ロボット126は、移送チャンバ116内で回転し、又は回転しながら直線的に移動するように構成されている。幾つかの実施形態では、移送ロボット126が、移送チャンバ116の床上のレールを介して、又は移送ロボット126の下の車輪を介して直線的に移動する。移送ロボット126は、1以上のプロセスチャンバ106の中に及び隣接するAMMsの中に延在し得る1以上のエンドエフェクタ730を有する伸縮アーム720を含む。幾つかの実施形態では、1以上のエンドエフェクタ730が、第1の種類の基板112aを取り扱うための基板エンドエフェクタ、及び第2の種類の基板112bを取り扱うための第2のエンドエフェクタを含む。幾つかの実施形態では、約2.0から約2.5メートルの長さを有する移送チャンバ116では、伸縮アーム720が、約1.0メートルまでのストローク長さを有してよい。幾つかの実施形態では、EFEMロボット104が、部品の共通性を高めるために、移送ロボット126と同じ種類及び構成である。
[0036] バッファー120は、フレーム710内、例えばフレーム710の内部空間内に収容されている。幾つかの実施形態では、バッファー120が、第1の種類の基板112a及び第2の種類の基板112bを所望のやり方で位置合わせするために回転するように構成されている。幾つかの実施形態では、バッファーが、垂直方向の積み重ねで1以上の種類の基板112を保持するように構成され、移送チャンバ116の設置面積を有利に低減させる。例えば、幾つかの実施形態では、バッファー120が、1以上の第1の種類の基板112aと1以上の第2の種類の基板112bを保管又は保持するための複数の棚722を含む。幾つかの実施形態では、複数の棚722が、垂直方向に離隔した構成で配置されている。幾つかの実施形態では、バッファー120が6つの棚を含む。幾つかの実施形態では、複数の棚が、第2の種類の基板112bを受容するための2つの棚を含む。
[0037] 図1に戻って参照すると、1以上のプロセスチャンバ106は、大気圧下で動作するように構成された大気チャンバ、及び減圧下で動作するように構成された減圧チャンバを含む。大気圧チャンバの例は、概して、湿式洗浄チャンバ、放射チャンバ、加熱チャンバ、計測チャンバ、ボンディングチャンバなどを含んでよい。減圧チャンバの例は、プラズマチャンバを含んでよい。上述された種類の大気圧チャンバも、必要に応じて減圧下で動作するように構成されてよい。1以上のプロセスチャンバ106は、ボンディングプロセス、ダイシングプロセス、洗浄プロセス、めっきプロセスなどを実行するために必要とされる任意のプロセスチャンバ又はモジュールであってよい。
[0038] 幾つかの実施形態では、複数のAMMs110の各々の1以上のプロセスチャンバ106が、湿式洗浄チャンバ122、プラズマチャンバ130、ガス抜きチャンバ132、放射チャンバ134、又はボンダーチャンバ140のうちの少なくとも1つを含む。それによって、マルチチャンバ処理ツール100は、少なくとも1つの湿式洗浄チャンバ122、少なくとも1つのプラズマチャンバ130、少なくとも1つのガス抜きチャンバ132、少なくとも1つの放射チャンバ134、及び少なくとも1つのボンダーチャンバ140を含む。
[0039] 湿式洗浄チャンバ122は、水などの流体を介して、1以上の種類の基板112を洗浄するために、湿式洗浄プロセスを実行するように構成されている。湿式洗浄チャンバ122は、第1の種類の基板112aを洗浄するための第1の湿式洗浄チャンバ122a、又は第2の種類の基板112bを洗浄するための第2の湿式洗浄チャンバ122bを含んでよい。
[0040] ガス抜きチャンバ132は、例えば高温ベーキングプロセスを介して、基板112から水分を除去するために、ガス抜きプロセスを実行するように構成されている。幾つかの実施形態では、ガス抜きチャンバ132が、第1の種類の基板112aのための第1のガス抜きチャンバ132a、及び第2の種類の基板112bのための第2のガス抜きチャンバ132bを含む。
[0041] プラズマチャンバ130は、第1の種類の基板112a又は第2の種類の基板112bから、望ましくない材料(例えば、有機材料や酸化物)を除去するために、エッチングプロセスを実行するように構成されてよい。幾つかの実施形態では、プラズマチャンバ130が、第1の種類の基板112aのための第1のプラズマチャンバ130a、及び第2の種類の基板112bのための第2のプラズマチャンバ130bを含む。プラズマチャンバ130はまた、基板112をチップレットにダイシングするために、エッチングプロセスを実行するように構成されてもよい。幾つかの実施形態では、プラズマチャンバ130が、第1の種類の基板112a又は第2の種類の基板112bを材料の所望の層でコーティングするために、堆積プロセス(例えば、物理的気相堆積プロセスや化学気相堆積プロセスなど)を実行するように構成されてよい。
[0042] 放射チャンバ134は、複数のチップレット606と支持テープ602との間の接着を低減させるために、第2の種類の基板112bに対して放射プロセスを実行するように構成されている。例えば、放射チャンバ134は、支持テープ602に紫外線放射を導くように構成された紫外線放射チャンバ、又は支持テープ602を加熱するように構成された加熱チャンバであってよい。複数のチップレット606と支持テープ602との間の接着を低減させることによって、第2の種類の基板112bから複数のチップレット606を容易に剥がすことができる。幾つかの実施形態では、放射チャンバ134が、複数の第2の種類の基板112bを保持し、処理するように構成されている。
[0043] ボンダーチャンバ140は、複数のチップレット606の少なくとも一部分を、第1の種類の基板112aのうちの1つに移送し、接着するように構成されている。ボンダーチャンバ140は、概して、第1の種類の基板112aのうちの1つを支持するための第1の支持体142、及び第2の種類の基板112bのうちの1つを支持するための第2の支持体144を含む。
[0044] 幾つかの実施形態では、第1のAMM110aの1以上のプロセスチャンバ106が、プラズマチャンバ130又はガス抜きチャンバ132のうちの少なくとも一方を含み、湿式洗浄チャンバ122を含む。図1の例示的な一実施例では、第1のAMM110aが、AMM110aの第1の側に、第1のプラズマチャンバ130a及び第2のプラズマチャンバ130bを含む。幾つかの実施形態では、第1のAMM110aが、第1のAMM110aの第1の側とは反対の第2の側に、第1の湿式洗浄チャンバ122a及び第2の湿式洗浄チャンバ122bを含む。幾つかの実施形態では、第2のAMMが、放射チャンバ134、及びプラズマチャンバ130又はガス抜きチャンバ132のうちの少なくとも一方を含む。
[0045] 幾つかの実施形態では、複数のAMMs110の最後のAMM、例えば図1の第3のAMM110cが、1以上のボンダーチャンバ140(図1では2つが示されている)を含む。幾つかの実施形態では、2つのボンダーチャンバのうち第1のものが、第1のサイズを有するチップレットを取り外し、接着するように構成され、2つのボンダーチャンバのうちの第2のものが、第2のサイズを有するチップレットを取り外し、接着するように構成されている。幾つかの実施形態では、複数のAMMs110のうちのいずれかが、1以上の種類の基板112の測定を行うように構成された計測チャンバ118を含む。図1では、計測チャンバ118が、第2のAMM110bの移送チャンバ116に結合された第2のAMM110bの一部として示されている。しかし、計測チャンバ118は、任意の移送チャンバ116又は移送チャンバ116内に結合されてよい。
[0046] コントローラ180が、マルチチャンバ処理ツール100を含む、本明細書で説明されるマルチチャンバ処理ツールのうちのいずれかの動作を制御する。コントローラ180は、マルチチャンバ処理システム100の直接制御を使用してよく、又は代替的に、マルチチャンバ処理ツール100に関連付けられたコンピュータ(又はコントローラ)を制御することにより制御してもよい。動作では、コントローラ180が、マルチチャンバ処理ツール100の性能を最適化するために、マルチチャンバ処理ツール100からのデータ収集及びフィードバックを可能にする。コントローラ180は、概して、中央処理装置(CPU)182、メモリ184、及びサポート回路186を含む。CPU182は、工業設定で使用され得る汎用コンピュータプロセッサの任意の形態であってよい。サポート回路186は、従来、CPU182に結合され、キャッシュ、クロック回路、入出力サブシステム、電力供給源などを備えてよい。以下で説明される方法などのソフトウェアルーチンは、メモリ184内に記憶されてよく、CPU182によって実行されたときに、CPU182を専用コンピュータ(コントローラ180)に変換してよい。ソフトウェアルーチンはまた、マルチチャンバ処理ツール100から遠隔に位置付けられた第2のコントローラ(図示せず)によって記憶及び/又は実行されてもよい。
[0047] メモリ184は、指示命令を含むコンピュータ可読ストレージ媒体の形態を採り、該指示命令は、CPU182によって実行されると、半導体プロセス及び装備の動作を促進する。メモリ184内の指示命令は、本原理の方法を実装するプログラムなどのプログラム製品の形態を採る。プログラムコードは、幾つかの異なるプログラミング言語のうちのいずれか1つに適合してよい。一実施例では、本開示が、コンピュータシステムと共に使用されるコンピュータ可読ストレージ媒体に記憶されたプログラム製品として実装されてよい。プログラム製品の(1以上の)プログラムは、複数の態様(本明細書で説明される方法を含む)の機能を規定する。例示的なコンピュータ可読記憶媒体には、情報が永久的に記憶される書込み不能な記憶媒体(例えば、CD-ROMドライブ、フラッシュメモリ、ROMチップ、又は任意の種類のソリッドステート不揮発性半導体メモリによって読み出し可能なCD-ROMディスクなどのコンピュータ内の読出し専用メモリデバイス)、及び変更可能な情報が記憶される書き込み可能な記憶媒体(例えば、ディスケットドライブ若しくはハードディスクドライブ内のフロッピーディスク又は任意の種類のソリッドステートランダムアクセス半導体メモリ)が含まれるが、これらに限定されない。本明細書で説明される方法の機能を指示するコンピュータ可読指示命令を運ぶときには、このようなコンピュータ可読ストレージ媒体が、本原理の態様となる。
[0048] 図2は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツール200の概略上面図を示している。マルチチャンバ処理ツール200は、マルチチャンバ処理ツール100と同様であるが、1以上のプロセスチャンバ106の構成が異なる。マルチチャンバ処理ツール200は、3つのAMMsを含む。幾つかの実施形態では、第1のAMM110aは、第1のAMM110aの第1の側に、第1の種類の基板112aをガス抜きするように構成された第1のガス抜きチャンバ132a、及び第2の種類の基板112bをガス抜きするように構成された第2のガス抜きチャンバ132b、並びに、第1のAMM110aの第1の側とは反対の第2の側に、2つの第2の湿式洗浄チャンバ122bを含む。幾つかの実施形態では、第1のAMM110aの第2の側は、代替的に、2つの第1の湿式洗浄チャンバ122a、又は1つの第1の湿式洗浄チャンバ122aと1つの第2の湿式洗浄チャンバ122bを含んでよい。
[0049] 幾つかの実施形態では、第2のAMM110bが、第2のAMM110bの第1の側に、第1のプラズマチャンバ130a及び第2のプラズマチャンバ130bを含む。幾つかの実施形態では、第2のAMM110bの第1の側とは反対の第2の側が、2つの第1の湿式洗浄チャンバ122aを含む。幾つかの実施形態では、第2のAMM110bの第2の側が、第1の湿式洗浄チャンバ122a及び放射チャンバ134を含む。幾つかの実施形態では、最後のAMM(例えば、図2の第3のAMM110c)の1以上のプロセスチャンバ106が、2つのボンダーチャンバ140及び放射チャンバ134を含む。幾つか実施形態では、放射チャンバ134が、移送チャンバ116の幅に沿って配置されている。第3のAMM110c内に放射チャンバ134を配置することにより、有利なことに、マルチチャンバ処理ツール200は、マルチチャンバ処理ツール100と比較して、更なる2つの湿式洗浄チャンバ122を有する。
[0050] 図3は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツール300の概略上面図を示している。マルチチャンバ処理ツール300は、マルチチャンバ処理ツール200と同様である。但し、マルチチャンバ処理ツール300は、第4のAMM110d及び第5のAMM110eを含む。幾つかの実施形態では、複数のAMMs110が、第1のAMM110aと最後のAMM(例えば、図3の第5のAMM110e)との間に配置された1以上のボンダーチャンバ140を有する1以上のAMMを含む。
[0051] 幾つかの実施形態では、マルチチャンバ処理ツール300が、6つのボンダーチャンバ140を含む。その場合、6つのボンダーチャンバ140は、同じ種類及びサイズのチップレット又は異なる種類及びサイズのチップレットを処理するように構成されている。幾つかの実施形態では、第5のAMM110eが放射チャンバ134を含む。マルチチャンバ処理ツール300のモジュール構成は、有利なことに、図2のマルチチャンバ処理ツール200と比較して、更なる基板並びに更なる種類及びサイズのチップレットの同時接着を容易にする。
[0052] 図4は、本開示の少なくとも幾つかの実施形態による、T字形状構成に配置された、チップレットを基板に接着するためのマルチチャンバ処理ツール400の概略上面図を示している。マルチチャンバ処理ツール400のT字形状構成は、有利なことに、マルチチャンバ処理ツール300と同じ又は同様な数のプロセスチャンバを有しながら、マルチチャンバ処理ツール300のような直線的なレイアウトと比較して、ツールの長さを低減させる。
[0053] 幾つかの実施形態では、図4で示されているように、複数のAMMs110は、接合モジュール410の3つの側部でAMMsに結合される接合モジュール410を含む。幾つかの実施形態では、複数のAMMs110が、EFEM102に結合された第1のAMM110a、及び、一端で第1のAMM110aに結合され、反対端で接合モジュール410に結合された第2のAMM110bを含む。幾つかの実施形態では、第3のAMM110cと第4のAMM110dが、接合モジュール410の両側で接合モジュール410に結合されている。幾つかの実施形態では、第5のAMM110eが、接合モジュール410とは反対側の端部で第4のAMM110dに結合されている。幾つかの実施形態では、接合モジュール410内の移送ロボット126が、接合モジュール410内のバッファー120と第3のAMM110c及び第4のAMM110d内のバッファーとの間で、1以上の種類の基板112を移送するように構成されている。幾つかの実施形態では、接合モジュール410が、接合モジュール410の第2のAMM110bとは反対の側部に放射チャンバ134を含む。
[0054] 図5は、本開示の少なくとも幾つかの実施形態による、U字形状構成に配置された、チップレットを基板に接着するためのマルチチャンバ処理ツール500の概略上面図を描いている。マルチチャンバ処理ツール500は、U字形状構成に配置された複数のAMMs110を含む。図5で示されているように、第1の組の3つのAMMs110a~110cは、直線的に配置され、第2の組の3つのAMMs110d~110fは、第1の組とは垂直方向に延在し、第3のAMMs110g~110iは、第2の組とは垂直方向に且つ第1の組とは平行に延在する。マルチチャンバ処理ツール500のU字形状構成は、有利なことに、図3のマルチチャンバ処理ツール300のような直線的な構成と比較して、ツールの長さを低減させる。
[0055] 幾つかの実施形態では、第2のEFEM502が、複数のAMMs110の最後のAMMに結合されている。例えば、図5では、最後のAMM、すなわち第9のAMM110iが、第2のEFEM502に結合されている。幾つかの実施形態では、第2のEFEM502が、1以上のロードポート514及びEFEMロボット104を含む。幾つかの実施形態では、1以上のロードポート514が、第1の種類の基板112aを受け取るための1以上の第1のロードポート514a、及び複数のチップレットを有する第2の種類の基板112bを受け取るための1以上の第2のロードポート514bを含む。幾つかの実施形態では、1以上のロードポート514が、4つの第2のロードポート514bを含み、第1のロードポート514aは含まない。第2のEFEM502の追加は、有利なことに、ツールに更なるロードポート及び更なるスキャンステーション108を追加し、処理スループットを向上させる。第2のEFEM502の追加はまた、有利なことに、1以上の種類の基板112が、一端からマルチチャンバ処理ツール500に入り、一端に戻る必要なしに、他端から出ることを可能にし、取り扱いが低減され、処理スループットが向上する。1以上の種類の基板112の取り扱いを減らすことによって、有利なことに、マルチチャンバ処理ツール500内の粒子生成及び汚染が低減されてよい。幾つかの実施形態では、EFEM102と第2のEFEM502の各々は、2つ以上のロードポートをそれぞれ有する。幾つかの実施形態では、EFEM102と第2のロードポート502が共に、2つ以上の第1のロードポート114a及び4つ以上の第2のロードポート116bを備える。幾つかの実施形態では、EFEM102と第2のEFEM502が共に、2つの第1のロードポート114a及び6つの第2のロードポート116bを備える。第2のEFEM502は、本明細書で説明されるマルチチャンバ処理ツールのうちのいずれかに追加されてよい。
[0056] 幾つかの実施形態では、U字形状構成で、複数のAMMs110のうちのAMMのうちの1つが、2つのバッファー120を含んでよい。図5は、2つのバッファー120を有する第6のAMM110fを描いているが、第2の組の3つのAMMs110d~110fのいずれかが、2つのバッファー120を含んでもよい。幾つか実施形態では、第3のAMM110c及び第7のAMM110gが、放射チャンバ134を含んでよい。図1から図5のいずれかにおける複数のAMMs110に関連付けられた1以上のプロセスチャンバ106の構成は、例示的であり、1以上のプロセスチャンバ106は、マルチチャンバ処理ツールの100、200、300、400、500、900、1000のいずれかにおける所望の用途のために任意の適切なやり方で再配置されてよい。
[0057] 図8は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着する方法800のフローチャートを示している。802では、方法800が、複数のAMMs(例えば、複数のAMMs110)を有するマルチチャンバ処理ツール(例えば、マルチチャンバ処理ツール100、200、300、400、500、900、1000)の機器フロントエンドモジュール(EFEM)(例えば、機器フロントエンドモジュール102)のロードポート(例えば、基板ロードポート114a)の上に基板(例えば、第1の種類の基板112a)を装填することを含む。
[0058] 804では、方法800が、EFEMロボット(例えば、EFEMロボット104)を使用して、EFEMに結合された第1のAMM(例えば、第1のAMM110a)内に配置された第1のバッファー(例えば、バッファー120)に第1の種類の基板を移送することを含む。幾つかの実施形態では、EFEMロボットを使用して、第1のバッファーに移送する前に、第1の種類の基板をEFEM内のスキャンステーション(例えば、スキャンステーション108)に移送し、識別情報を記録して、その識別情報に基づいてプロセスステップを決定する。例えば、識別情報は、幾つの異なる種類のチップレットが第1の種類の基板に接着されるか、幾つの層のチップレットが第1の種類の基板
に接着されるか、又は第1の種類の基板に接着されたときのチップレットの所望の配置のうちの少なくとも1つを指示することができる。識別情報はまた、どのボンディング前プロセス(例えば、湿式洗浄、プラズマエッチング、ガス抜き、紫外線プロセスなど)が必要であるか、及びプロセスパラメータ(例えば、持続時間、出力、温度など)も指示してよい。識別情報は、OCRリーダーやバーコードリーダーなどの基板IDリーダーを介して読み取ってよい。
[0059] 806では、方法800が、洗浄プロセスを実行するために第1の湿式洗浄チャンバ(例えば、第1の湿式洗浄チャンバ122a)に、第1の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第1のガス抜きチャンバ(例えば、第1のガス抜きチャンバ132a)に、第1の種類の基板から望ましくない材料を除去するようにプラズマエッチングプロセスを実行するために第1のプラズマチャンバ(例えば、第1のプラズマチャンバ130a)に、及びボンダーチャンバ(例えば、ボンダーチャンバ140)に、複数のAMMsの各々の中のそれぞれの移送ロボット(例えば、移送ロボット126)を介して、第1の種類の基板を第1のバッファーから順次移送することを含む。
[0060] 808では、方法800が、複数のチップレットを有する第2の種類の基板(例えば、第2の種類の基板112b)を、第2のロードポート(例えば、1以上の第2のロードポート114b)から第1のバッファーに移送するために、EFEMロボットを使用することを含む。幾つかの実施形態では、EFEMロボットは、第1のバッファーに移送する前に、第2の種類の基板をEFEM内のスキャンステーションに移送するために使用され、識別情報を記録して、その識別情報に基づいてプロセスステップを決定する。識別情報は、OCRリーダーやバーコードリーダーを介して読み取ってよい。
[0061] 810では、方法800が、洗浄プロセスを実行するために第2の湿式洗浄チャンバ(例えば、第2の湿式洗浄チャンバ122b)に、第2の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第2のガス抜きチャンバ(例えば、第2のガス抜きチャンバ132b)に、第2の種類の基板から望ましくない材料を除去するようにプラズマエッチングプロセスを実行するために第2のプラズマチャンバ(例えば、第2のプラズマチャンバ130b)に、チップレットと第2の種類の基板との間の接着結合を弱めるように放射プロセスを実行するために放射チャンバ(例えば、放射チャンバ134)に、及びボンダーチャンバに、複数のAMMsの各々の中のそれぞれの移送ロボットを介して、第2の種類の基板を第1のバッファーから順次移送することを含む。幾つかの実施形態では、放射プロセスがUV放射プロセスである。幾つかの実施形態では、放射プロセスが加熱プロセスである。
[0062] 812では、方法800が、ボンダーチャンバ内で第2の種類の基板から第1の種類の基板に複数のチップレットのうちの少なくとも幾つかを移送することを含む。814では、方法800が、適切なボンディング方法を介して、ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかを第1の種類の基板に接着することを含む。幾つかの実施形態では、ボンダーチャンバ内で複数のチップレットのうちの少なくとも幾つかが第1の種類の基板に接着された後で、第1の種類の基板が第2のボンダーチャンバに移送される。幾つかの実施形態では、第2の種類の基板のうちの第2のものが、第2のボンダーチャンバに移送される。幾つかの実施形態では、第2の種類のうちの基板の第2のものは、複数のチップレットとは異なるサイズを有する複数の第2のチップレットを含む。幾つかの実施形態では、複数の第2チップレットのうちの少なくとも幾つかが、第2ボンダーチャンバ内で第1の種類の基板の上に移送され、接着される。816では、方法800が、複数のチップレットが接着された第1の種類の基板を、最後のAMMからマルチチャンバ処理ツールの第2のEFEM(例えば、第2のEFEM)のロードポートに装填することを含む。
[0063] 幾つかの実施形態では、複数のチップレット及び第2の複数のチップレットとは異なるサイズを有する第3の複数のチップレットを第1の種類の基板に接着するために、第1の種類の基板が第3のボンダーチャンバに移送されてよい。したがって、マルチチャンバ処理ツールは、N個の異なる種類又はサイズのチップレットを所与の基板の上に接着するために必要なN個のボンダーチャンバを収容できるように構成されている。例えば、図4のマルチチャンバ処理ツール400は、6つの異なる種類又はサイズのチップレットを受容するための6つのボンダーチャンバを含む。ボンディングが完了すると、第1の種類の基板が、バッファーを介して、及びマルチチャンバ処理ツールの移送ロボットを介して、第1のロードポートにシャトルバックされる。ボンディングが完了すると、第2の種類の基板は、後続の第1の種類の基板の後続の処理のために、マルチチャンバ処理ツール内に留まってよく、又は代替的に、バッファーを介して及び移送ロボットを介して、第2のロードポートにシャトルバックされてよい。
[0064] 幾つかの実施形態では、複数のチップレットが、第1の種類の基板上の第1の層のチップレットに沿って配置される。幾つかの実施形態では、チップレットの第1の層を有する第1の種類の基板が、望ましくない材料を除去するように補助プラズマエッチングプロセスを実行するために、マルチチャンバ処理ツールの第1のプラズマチャンバに移送される。幾つかの実施形態では、第1の種類の基板が、その後、ボンディングチャンバ又は第2のボンディングチャンバに移送される。ボンディングチャンバ又は第2のボンディングチャンバでは、第2の種類の基板からの複数のチップレット又は第2の種類の基板のうちの1つからの複数の第2のチップレットが、第2の層のチップレットに沿って第1の層の上に移送される。第2の層のチップレットは、第1の層のチップレットと同じ種類及びサイズのチップレットを含んでよい。代替的に、第2の層のチップレットは、第1の層のチップレットとは異なる種類又はサイズのチップレットのうちの少なくとも一方を含んでよい。
[0065] 幾つかの実施形態では、第1の種類の基板と第2の種類の基板は、マルチチャンバ処理ツール内で同時に処理される。幾つかの実施形態では、複数の第1の種類の基板と複数の第2の種類の基板が、処理スループットを有利に増大させるために、マルチチャンバ処理ツール内で同時に処理される。マルチチャンバプロセスツールは、処理能力を有利に高めるために更なるロードポート及びスキャンステーションを提供するよう、第2のEFEM(例えば、第2のEFEM502)又は第3のEFEMを含んでよい。例えば、第1の種類の基板のうちの第1のもの又は第2の種類の基板のうちの第1のものうちの少なくとも一方が、湿式洗浄プロセスを受けてよい。その間、第1の種類の基板のうちの第2のものが、ガス抜きプロセスを受けており、第1の種類の基板のうちの第3のものと第2の種類の基板のうちの第2のものが、ボンディングプロセスを受けている。別の一実施例では、第1の種類の基板のうちの第1のものと第1の種類の基板のうちの第2のものが、湿式洗浄プロセスを受けてよい。その間、第1の種類の基板のうちの第3のものは、ガス抜きプロセスを受けており、第1の種類の基板のうちの第4のものと第1の種類の基板のうちの第5のものが、それぞれ、第2の種類の基板のうちの第1のものと第2の種類の基板のうちの第2のものとのボンディングプロセスを受けている。これらは、複数の第1の種類の基板と第2の種類の基板が、マルチチャンバ処理ツール内で処理されてよい様態の非限定的な例である。
[0066] 幾つかの実施形態では、マルチチャンバ処理ツールが、チップレットを第1の種類の基板に接着する前に、マルチチャンバ処理ツールのプラズマチャンバを使用して、プラズマダイシング又は個片化プロセスを実行するように構成されてよい。幾つかの実施形態では、マルチチャンバ処理ツールが、チップレットを第1の種類の基板に接着する前後に、更なる洗浄又は基板めっきプロセスを実行するように構成されてよい。複数のAMMsは、概して、EFEMと相互作用して、基板をAMMsの各々に関連付けられた1以上のプロセスチャンバに引き渡すことができる。したがって、適切な数のAMMs及び関連する処理チャンバを使用して、処理済み基板の所望のスループットを調整することができる。
[0067] 図9は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツールの概略上面図を描く。マルチチャンバ処理ツール900は、マルチチャンバ処理ツール200と同様である。但し、マルチチャンバ処理ツール900は、第4のAMM110d、及びEFEM102とは反対側で第4のAMM110dに結合された第2のEFEM502を含む。幾つかの実施形態では、放射チャンバ134が、第4のAMM110dに結合され、第2のEFEM502が、放射チャンバ134に結合されている。このような配置は、有利なことに、第1の種類の基板112a及び第2の種類の基板112bが、EFEM102からマルチチャンバ処理ツール900に入り、第2のEFEM502から出ることを可能にし、スループットを向上させる。第2のEFEM502は、本明細書で開示されるツールのうちのいずれかに組み込まれてよい。
[0068] 幾つかの実施形態では、移送チャンバ116のうちの1以上が、第1の種類の基板112a又は第2の種類の基板112bを所望の配向に回転し、位置合わせするように構成されたプリアライナ910を含んでよい。プリアライナ910は、バッファー120から分離されていてよい。幾つかの実施形態では、ボンダーチャンバ140を有するAMMs110に関連付けられた移送チャンバ116が、プリアライナ910を含んでよい。幾つかの実施形態では、放射チャンバ134が、内部に配置された1以上の種類の基板112を回転させるように構成されてよい。
[0069] 図10は、本開示の少なくとも幾つかの実施形態による、チップレットを基板に接着するためのマルチチャンバ処理ツール1000の概略上面図を示している。マルチチャンバ処理ツール1000は、マルチチャンバ処理ツール900と同様である。但し、マルチチャンバ処理ツール1000は、複数のEFEMs102を含む。幾つかの実施形態では、本明細書で開示されるマルチチャンバ処理ツールのいずれもが、例えば図10で示されているように、ツールの一端に複数のEFEMs102を含んでよく、ツールの他端に第2のEFEMを含んでよい。EFEM102のうちの複数のものは、有利なことに、1以上のロードポートの容量を増加させ、したがって、スループットを向上させることを可能にする。EFEM102のうちの複数のものは、有利なことに、更なるダイの種類を容易にするための更なるロードポートを提供する。例えば、1つのEFEM102は、第1の種類の基板112aのための2つのロードポート及び第2の種類の基板112bのための2つのロードポートを含んでよく、EFEM102のうちの別の1つは、第2の種類の基板112bのための4つのロードポートを含んでよい。第2の種類の基板112bは、異なるダイの種類及びサイズを含んでよい。
[0070] 幾つかの実施形態では、移送チャンバ116が、EFEMs102の各々と第1のAMM110aとの間に配置されてよい。幾つかの実施形態では、移送チャンバ116が、1以上の種類の基板112を保持し、回転させるように構成された1以上の棚1010を含んでよい。幾つかの実施形態では、移送チャンバが、移送チャンバ116内に配置された移送ロボット126のいずれかの側に1以上の棚1010のうちの1以上を含んでよい。移送ロボット126は、基板112を1以上の棚1010から第1のAMM110aに移送するように構成されてよい。
[0071] 上記は本開示の複数の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態を考案してもよい。

Claims (20)

  1. 基板を処理するためのマルチチャンバ処理ツールであって、
    1以上の種類の基板を受け取るための1以上のロードポートを有する機器フロントエンドモジュール(EFEM)、及び
    互いに結合され、前記EFEMに結合された第1の自動化モジュールを有する複数の自動化モジュールを備え、
    前記複数の自動化モジュールの各々は、移送チャンバ、及び前記移送チャンバに結合された1以上のプロセスチャンバを含み、前記移送チャンバは、複数の前記1以上の種類の基板を保持するように構成されたバッファーを含み、前記移送チャンバは、前記バッファーと、前記1以上のプロセスチャンバと、前記複数の自動化モジュールのうちの隣接する自動化モジュール内に配置されたバッファーとの間で、前記1以上の種類の基板を移送するように構成された移送ロボットを含む、マルチチャンバ処理ツール。
  2. 前記1以上のロードポートは、第1の種類の基板を受け取るための1以上の第1のロードポート、及び複数のチップレットを有する第2の種類の基板を受け取るための1以上の第2のロードポートを含み、前記複数の自動化モジュールの各々の前記1以上のプロセスチャンバは、湿式洗浄チャンバ、プラズマチャンバ、ガス抜きチャンバ、放射チャンバ、又はボンダーチャンバのうちの少なくとも1つを含み、それによって、前記マルチチャンバ処理ツールは、少なくとも1つの湿式洗浄チャンバ、少なくとも1つのプラズマチャンバ、少なくとも1つのガス抜きチャンバ、少なくとも1つの放射チャンバ、及び少なくとも1つのボンダーチャンバを含む、請求項1に記載のマルチチャンバ処理ツール。
  3. 第1の自動化モジュールの前記1以上のプロセスチャンバは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、湿式洗浄チャンバを含み、前記複数の自動化モジュールのうちの最後の自動化モジュールは、前記第2の種類の基板から前記複数のチップレットを取り外し、前記複数のチップレットを前記第1の種類の基板の上に接着するように構成された1以上のボンダーチャンバを含む、請求項2に記載のマルチチャンバ処理ツール。
  4. 前記少なくとも1つの湿式洗浄チャンバは、前記第1の種類の基板を洗浄するための第1の湿式洗浄チャンバ、及び前記第2の種類の基板を洗浄するための第2の湿式洗浄チャンバを含み、前記少なくとも1つのプラズマチャンバは、前記第1の種類の基板を処理するための第1のプラズマチャンバ、及び前記第2の種類の基板を処理するための第2のプラズマチャンバを含み、前記少なくとも1つのガス抜きチャンバは、前記第1の種類の基板を処理するための第1のガス抜きチャンバ、及び前記第2の種類の基板を処理するための第2のガス抜きチャンバを含む、請求項2に記載のマルチチャンバ処理ツール。
  5. 前記移送チャンバは、非減圧チャンバである、又は
    前記EFEMは、基板IDリーダーを有するスキャンステーションを含む、
    のうちの少なくとも一方である、請求項1に記載のマルチチャンバ処理ツール。
  6. 前記複数の自動化モジュールは、前記第1の自動化モジュールと最後の自動化モジュールとの間に配置された、1以上のボンダーチャンバを有する1以上の自動化モジュールを含む、請求項1に記載のマルチチャンバ処理ツール。
  7. 前記複数の自動化モジュールは、前記EFEMに結合された第1の自動化モジュール、一端において前記第1の自動化モジュールに結合され、反対端において接合モジュールに結合された第2の自動化モジュール、前記接合モジュールの両側において前記接合モジュールに結合された第3の自動化モジュールと第4の自動化モジュール、及び、前記接合モジュールとは反対端において前記第4の自動化モジュールに結合された第5の自動化モジュールを含み、前記接合モジュールはバッファー及び移送ロボットを含む、請求項1から6のいずれか一項に記載のマルチチャンバ処理ツール。
  8. 前記複数の自動化モジュールのうちの最後の自動化モジュールに結合された第2のEFEMを更に備え、前記複数の自動化モジュールは、直線的な構成で配置されている、請求項1から6のいずれか一項に記載のマルチチャンバ処理ツール。
  9. 前記複数の自動化モジュールのうちの最後の自動化モジュールに結合された第2のEFEMを更に備え、前記第2のEFEMは、複数のロードポート及びEFEMロボットを含み、前記複数の自動化モジュールは、U字形状構成で配置されている、請求項1から6のいずれか一項に記載のマルチチャンバ処理ツール。
  10. 前記1以上のロードポートは、第1の種類の基板を受け取るための1以上の第1のロードポート、及び複数のチップレットを有する第2の種類の基板を受け取るための1以上の第2のロードポートを含み、前記EFEMは、前記第1の種類の基板と前記第2の種類の基板を移送するように構成されたEFEMロボットを含み、
    前記複数の自動化モジュールのうちの第1の自動化モジュールの前記1以上のプロセスチャンバは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、湿式洗浄チャンバを含み、前記第1の自動化モジュールに結合された前記複数の自動化モジュールのうちの第2の自動化モジュールは、プラズマチャンバ又はガス抜きチャンバのうちの少なくとも一方を含み、前記第2の自動化モジュールに結合された前記複数の自動化モジュールのうちの第3の自動化モジュールは、前記第2の種類の基板から前記複数のチップレットを取り外し、前記複数のチップレットを前記第1の種類の基板の上に接着するように構成された1以上のボンダーチャンバを含む、請求項1に記載のマルチチャンバ処理ツール。
  11. 前記第3の自動化モジュールは、2つのボンダーチャンバを含み、前記2つのボンダーチャンバのうちの第1のボンダーチャンバは、第1のサイズを有するチップレットを取り外し、接着するように構成され、前記2つのボンダーチャンバのうちの第2のボンダーチャンバは、第2のサイズを有するチップレットを取り外し、接着するように構成されている、請求項10に記載のマルチチャンバ処理ツール。
  12. 前記バッファーは、前記第2の種類の基板を位置合わせするために回転するように構成され、又は
    前記移送ロボットは、前記移送チャンバ内での回転運動と直線運動のために構成されている、
    のうちの少なくとも一方である、請求項10又は11に記載のマルチチャンバ処理ツール。
  13. 前記EFEMロボット及び前記移送ロボットは、前記第1の種類の基板を取り扱うための第1のエンドエフェクタ、及び前記第2の種類の基板を取り扱うための第2のエンドエフェクタを含む、請求項10又は11に記載のマルチチャンバ処理ツール。
  14. 前記複数の自動化モジュールのうちの最後の自動化モジュールに結合された第2のEFEMを更に備える、請求項10又は11に記載のマルチチャンバ処理ツール。
  15. 複数のチップレットを基板の上に接着するための方法であって、
    第1の種類の基板を複数の自動化モジュールを有するマルチチャンバ処理ツールの機器フロントエンドモジュール(EFEM)の第1のロードポートの上に装填すること、
    前記第1の種類の基板を前記EFEMに結合された第1の自動化モジュール内に配置された第1のバッファーに移送するために、EFEMロボットを使用すること、
    前記第1の種類の基板を、洗浄プロセスを実行するために前記第1のバッファーから第1の湿式洗浄チャンバに移送し、次に前記第1の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第1のガス抜きチャンバに移送し、次に望ましくない材料を前記第1の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第1のプラズマチャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
    複数のチップレットを有する第2の種類の基板を前記第1のバッファーに移送するために、前記EFEMロボットを使用すること、
    前記第2の種類の基板を、洗浄プロセスを実行するために前記第1のバッファーから第2の湿式洗浄チャンバに移送し、次に前記第2の種類の基板を乾燥させるようにガス抜きプロセスを実行するために第2のガス抜きチャンバに移送し、次に望ましくない材料を第2の種類の基板から除去するようにプラズマエッチングプロセスを実行するために第2のプラズマチャンバに移送し、次に前記複数のチップレットと前記第2の種類の基板との間の接着を弱めるように放射プロセスを実行するために放射チャンバに移送し、最後にボンダーチャンバに移送することを順次行うこと、
    前記ボンダーチャンバ内で前記複数のチップレットのうちの少なくとも幾つかを前記第2の種類の基板から前記第1の種類の基板に移送すること、並びに
    前記ボンダーチャンバ内で前記複数のチップレットのうちの前記少なくとも幾つかを前記第1の種類の基板に接着することを含む、方法。
  16. 前記EFEMロボットを使用して、前記第1のバッファーに移送する前に、前記第1の種類の基板と前記第2の種類の基板を前記EFEM内のスキャンステーションに移送し、識別情報を記録して、前記識別情報に基づいてプロセスステップを決定することを更に含む、請求項15に記載の方法。
  17. 前記第1の種類の基板と前記第2の種類の基板は、同時に処理される、請求項15に記載の方法。
  18. 複数の第1の種類の基板と複数の第2の種類の基板が、前記マルチチャンバ処理ツール内で同時に処理される、請求項15に記載の方法。
  19. 前記第1の種類の基板を第2のボンダーチャンバに移送すること、
    前記第2の種類の基板のうちの第2の基板を前記第2のボンダーチャンバに移送することであって、前記第2の種類の基板のうちの前記第2の基板は、前記複数のチップレットとは異なるサイズを有する複数の第2のチップレットを含む、前記第2のボンダーチャンバに移送すること、及び
    前記複数の第2のチップレットのうちの少なくとも幾つかを前記第1の種類の基板の上に移送することを更に含む、請求項15から18のいずれか一項に記載の方法。
  20. 前記複数のチップレットは、前記第1の種類の基板上のチップレットの第1の層に沿って配置されており、前記方法は、
    望ましくない材料を除去するように、補助プラズマエッチングプロセスを実行するために、前記チップレットの第1の層を有する前記第1の種類の基板を、前記第1のプラズマチャンバに移送すること、
    前記第1の種類の基板を前記ボンダーチャンバ又は第2のボンダーチャンバに移送すること、及び
    前記第2の種類の基板からの前記複数のチップレット、又は前記第2の種類の基板のうちの第2の基板からの複数の第2のチップレットを、前記ボンダーチャンバ又は前記第2のボンダーチャンバ内で前記チップレットの第1の層の上に移送することを更に含む、請求項15から18のいずれか一項に記載の方法。
JP2023549037A 2021-02-17 2022-02-17 複数の半導体プロセスモジュール又はチャンバをサポートするためのモジュール式メインフレームレイアウト Pending JP2024508261A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17/177,882 2021-02-17
US17/177,882 US11935770B2 (en) 2021-02-17 2021-02-17 Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US17/513,631 2021-10-28
US17/513,631 US11935771B2 (en) 2021-02-17 2021-10-28 Modular mainframe layout for supporting multiple semiconductor process modules or chambers
PCT/US2022/016732 WO2022178086A1 (en) 2021-02-17 2022-02-17 Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Publications (1)

Publication Number Publication Date
JP2024508261A true JP2024508261A (ja) 2024-02-26

Family

ID=82801440

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023549037A Pending JP2024508261A (ja) 2021-02-17 2022-02-17 複数の半導体プロセスモジュール又はチャンバをサポートするためのモジュール式メインフレームレイアウト

Country Status (6)

Country Link
US (1) US11935771B2 (ja)
EP (1) EP4295389A1 (ja)
JP (1) JP2024508261A (ja)
KR (1) KR20230145180A (ja)
TW (1) TW202247318A (ja)
WO (1) WO2022178086A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116848629A (zh) * 2021-02-17 2023-10-03 应用材料公司 用于支持多个半导体处理模块或腔室的模块化主机布局

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US20070264106A1 (en) * 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070017445A1 (en) * 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
KR20080072238A (ko) 2007-02-01 2008-08-06 세메스 주식회사 반도체 소자 제조 시스템
JP4964107B2 (ja) * 2007-12-03 2012-06-27 東京応化工業株式会社 剥離装置
WO2012098871A1 (ja) 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9746678B2 (en) 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
KR20160119380A (ko) 2015-04-03 2016-10-13 삼성전자주식회사 기판 제조 장치, 기판 제조 방법, 및 그를 포함하는 패브리케이션 라인
KR101837545B1 (ko) 2015-10-02 2018-04-20 에이피시스템 주식회사 Tsv 공정용 진공 라미네이팅 방법
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10559483B2 (en) 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
JP7129793B2 (ja) 2018-03-06 2022-09-02 シャープ株式会社 接合装置
CN111494035A (zh) 2020-04-24 2020-08-07 深圳大洲医学科技有限公司 一种骨小梁多孔钽牙种植体及其制备方法

Also Published As

Publication number Publication date
US20220262653A1 (en) 2022-08-18
KR20230145180A (ko) 2023-10-17
TW202247318A (zh) 2022-12-01
WO2022178086A1 (en) 2022-08-25
EP4295389A1 (en) 2023-12-27
US11935771B2 (en) 2024-03-19

Similar Documents

Publication Publication Date Title
US9305818B2 (en) Substrate processing apparatus
JP5134575B2 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
US20090252578A1 (en) Substrate processing apparatus and substrate processing method for successively processing a plurality of substrates
JP2008258188A (ja) 基板処理装置、基板処理方法及び記憶媒体
JPH05275511A (ja) 被処理体の移載システム及び処理装置
US10818532B2 (en) Substrate processing apparatus
KR101355693B1 (ko) 기판 반송 처리 장치
JPH04190840A (ja) 真空処理装置
JP5610009B2 (ja) 基板処理装置
JP2024508261A (ja) 複数の半導体プロセスモジュール又はチャンバをサポートするためのモジュール式メインフレームレイアウト
WO2001040085A1 (en) Small footprint carrier front end loader
TWI716983B (zh) 工件儲存系統、儲存工件的方法、以及使用其傳輸工件的方法
CN101630634A (zh) 用于基板传输的系统和方法
US11935770B2 (en) Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11984335B2 (en) FOUP or cassette storage for hybrid substrate bonding system
WO2023076249A1 (en) Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US20230207358A1 (en) Foup or cassette storage for hybrid substrate bonding system
JP4838293B2 (ja) 基板処理方法、半導体装置の製造方法および基板処理装置
JP2004080053A (ja) 半導体製造装置
JPH06132186A (ja) 半導体製造装置
US20240096664A1 (en) On-board cleaning of tooling parts in hybrid bonding tool
KR20230067075A (ko) 기판 처리 장치 및 이를 포함하는 반도체 제조 설비
TW202341336A (zh) 基板處理系統、基板處理方法及電腦可讀取記錄媒體
KR20230045765A (ko) EFEM(Equipment Front End Module) 및 이를 포함하는 파괴 분석 자동화 설비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231011